Index of /alpine/edge/testing/x86_64
Name
Last modified
Size
Description
Parent Directory
-
9base-6-r2.apk
2024-10-25 18:59
1.8M
9base-doc-6-r2.apk
2024-10-25 18:59
64K
9base-troff-6-r2.apk
2024-10-25 18:59
485K
a2jmidid-9-r3.apk
2024-10-25 18:59
29K
a2jmidid-doc-9-r3.apk
2024-10-25 18:59
4.2K
abc-0_git20240102-r0.apk
2024-10-25 18:59
4.9M
ace-of-penguins-1.4-r3.apk
2024-10-25 18:59
159K
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 18:59
49K
adjtimex-1.29-r0.apk
2024-10-25 18:59
19K
adjtimex-doc-1.29-r0.apk
2024-10-25 18:59
7.1K
admesh-0.98.5-r0.apk
2024-10-25 18:59
26K
admesh-dev-0.98.5-r0.apk
2024-10-25 18:59
4.0K
admesh-doc-0.98.5-r0.apk
2024-10-25 18:59
23K
advancemame-3.9-r4.apk
2024-10-25 18:59
12M
advancemame-data-3.9-r4.apk
2024-10-25 18:59
5.8M
advancemame-doc-3.9-r4.apk
2024-10-25 18:59
374K
advancemame-menu-3.9-r4.apk
2024-10-25 18:59
903K
advancemame-mess-3.9-r4.apk
2024-10-25 18:59
3.9M
advancescan-1.18-r1.apk
2024-10-25 18:59
268K
advancescan-doc-1.18-r1.apk
2024-10-25 18:59
7.3K
afetch-2.2.0-r1.apk
2024-10-25 18:59
9.0K
afetch-doc-2.2.0-r1.apk
2024-10-25 18:59
14K
agrep-0.8.0-r2.apk
2024-10-25 18:59
8.3K
agrep-doc-0.8.0-r2.apk
2024-10-25 18:59
4.1K
airsonic-advanced-11.0.0_git20230217-r0.apk
2024-10-25 18:59
91M
airsonic-advanced-openrc-11.0.0_git20230217-r0.apk
2024-10-25 18:59
1.8K
alarmwakeup-0.2.1-r0.apk
2024-10-25 18:59
6.4K
alarmwakeup-dbg-0.2.1-r0.apk
2024-10-25 18:59
18K
alarmwakeup-dev-0.2.1-r0.apk
2024-10-25 18:59
2.6K
alarmwakeup-libs-0.2.1-r0.apk
2024-10-25 18:59
4.5K
alarmwakeup-utils-0.2.1-r0.apk
2024-10-25 18:59
4.0K
alttab-1.7.1-r0.apk
2024-10-25 18:59
37K
alttab-doc-1.7.1-r0.apk
2024-10-25 18:59
10K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 18:59
14K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 18:59
9.6K
amiitool-2-r2.apk
2024-10-25 18:59
7.8K
amule-2.3.3-r13.apk
2024-10-25 18:59
3.9M
amule-doc-2.3.3-r13.apk
2024-10-25 18:59
281K
amule-lang-2.3.3-r13.apk
2024-10-25 18:59
1.6M
anarch-1.0-r1.apk
2024-10-25 18:59
92K
anarch-doc-1.0-r1.apk
2024-10-25 18:59
18K
anari-sdk-0.7.2-r0.apk
2024-10-25 18:59
289K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 18:59
59K
anari-sdk-static-0.7.2-r0.apk
2024-10-25 18:59
181K
android-apkeep-0.17.0-r0.apk
2024-10-25 18:59
2.0M
angband-4.2.5-r0.apk
2024-10-25 18:59
23M
ansible-bender-0.10.1-r2.apk
2024-10-25 18:59
36K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 18:59
10K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 18:59
65K
ansiweather-1.19.0-r1.apk
2024-10-25 18:59
4.7K
ansiweather-doc-1.19.0-r1.apk
2024-10-25 18:59
3.0K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 18:59
60K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 18:59
8.2K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 18:59
10K
apache2-mod-realdoc-1-r1.apk
2024-10-25 18:59
4.6K
apk-snap-3.1.1-r0.apk
2024-10-25 18:59
6.6K
apk-snap-doc-3.1.1-r0.apk
2024-10-25 18:59
20K
apmpkg-1.5.1-r3.apk
2024-10-25 18:59
1.6M
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 18:59
2.2K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 18:59
3.0K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 18:59
2.1K
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 18:59
2.4K
apostrophe-3.2-r0.apk
2024-10-25 18:59
162K
apostrophe-lang-3.2-r0.apk
2024-10-25 18:59
198K
apostrophe-pyc-3.2-r0.apk
2024-10-25 18:59
134K
apt-dater-1.0.4-r4.apk
2024-10-25 18:59
57K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 18:59
9.9K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 18:59
13K
apt-mirror-0.5.4-r0.apk
2024-10-25 18:59
9.4K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 18:59
4.6K
aqemu-0.9.4-r3.apk
2024-10-25 18:59
1.6M
aqemu-doc-0.9.4-r3.apk
2024-10-25 18:59
7.5K
aravis-0.8.31-r0.apk
2024-10-25 18:59
44K
aravis-dev-0.8.31-r0.apk
2024-10-25 18:59
34K
aravis-libs-0.8.31-r0.apk
2024-10-25 18:59
175K
aravis-viewer-0.8.31-r0.apk
2024-10-25 18:59
66K
aravis-viewer-lang-0.8.31-r0.apk
2024-10-25 18:59
16K
arc-20221218-r0.apk
2024-10-25 18:59
1.7K
arc-cinnamon-20221218-r0.apk
2024-10-25 18:59
68K
arc-dark-20221218-r0.apk
2024-10-25 18:59
1.8K
arc-dark-cinnamon-20221218-r0.apk
2024-10-25 18:59
68K
arc-dark-gnome-20221218-r0.apk
2024-10-25 18:59
27K
arc-dark-gtk2-20221218-r0.apk
2024-10-25 18:59
38K
arc-dark-gtk3-20221218-r0.apk
2024-10-25 18:59
93K
arc-dark-gtk4-20221218-r0.apk
2024-10-25 18:59
86K
arc-dark-metacity-20221218-r0.apk
2024-10-25 18:59
17K
arc-dark-xfwm-20221218-r0.apk
2024-10-25 18:59
7.9K
arc-darker-20221218-r0.apk
2024-10-25 18:59
1.8K
arc-darker-gtk2-20221218-r0.apk
2024-10-25 18:59
39K
arc-darker-gtk3-20221218-r0.apk
2024-10-25 18:59
124K
arc-darker-gtk4-20221218-r0.apk
2024-10-25 18:59
110K
arc-darker-metacity-20221218-r0.apk
2024-10-25 18:59
17K
arc-darker-xfwm-20221218-r0.apk
2024-10-25 18:59
7.9K
arc-gnome-20221218-r0.apk
2024-10-25 18:59
29K
arc-gtk2-20221218-r0.apk
2024-10-25 18:59
38K
arc-gtk3-20221218-r0.apk
2024-10-25 18:59
126K
arc-gtk4-20221218-r0.apk
2024-10-25 18:59
114K
arc-icon-theme-20161122-r0.apk
2024-10-25 18:59
4.4M
arc-lighter-20221218-r0.apk
2024-10-25 18:59
1.8K
arc-lighter-gtk2-20221218-r0.apk
2024-10-25 18:59
38K
arc-lighter-gtk3-20221218-r0.apk
2024-10-25 18:59
125K
arc-lighter-gtk4-20221218-r0.apk
2024-10-25 18:59
113K
arc-lighter-metacity-20221218-r0.apk
2024-10-25 18:59
17K
arc-lighter-xfwm-20221218-r0.apk
2024-10-25 18:59
7.7K
arc-metacity-20221218-r0.apk
2024-10-25 18:59
17K
arc-theme-20221218-r0.apk
2024-10-25 18:59
1.4K
arc-xfwm-20221218-r0.apk
2024-10-25 18:59
7.7K
arj-0_git20220125-r1.apk
2024-10-25 18:59
127K
arj-doc-0_git20220125-r1.apk
2024-10-25 18:59
10K
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 18:59
1.5M
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 18:59
92K
asciinema-rs-0.5.1-r3.apk
2024-10-25 18:59
1.1M
aspell-es-1.11-r0.apk
2024-10-25 18:59
533K
atari800-5.2.0-r0.apk
2024-10-25 18:59
339K
atari800-doc-5.2.0-r0.apk
2024-10-25 18:59
56K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 18:59
379K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 18:59
79K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 18:59
69K
atomicparsley-20240608-r0.apk
2024-10-25 18:59
108K
atool-0.39.0-r4.apk
2024-10-25 18:59
18K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 18:59
2.0K
atool-doc-0.39.0-r4.apk
2024-10-25 18:59
9.6K
aufs-util-20161219-r3.apk
2024-10-25 18:59
176K
aufs-util-dev-20161219-r3.apk
2024-10-25 18:59
1.5K
aufs-util-doc-20161219-r3.apk
2024-10-25 18:59
34K
autoconf-policy-0.1-r0.apk
2024-10-25 18:59
5.5K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 18:59
35K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 18:59
12K
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 18:59
54K
autotrash-0.4.7-r0.apk
2024-10-25 18:59
23K
autotrash-pyc-0.4.7-r0.apk
2024-10-25 18:59
14K
avarice-2.14-r4.apk
2024-10-25 18:59
65K
avarice-doc-2.14-r4.apk
2024-10-25 18:59
9.4K
avida-0_git20190813-r1.apk
2024-10-25 18:59
1.9M
avra-1.4.2-r0.apk
2024-10-25 18:59
39K
avra-dev-1.4.2-r0.apk
2024-10-25 18:59
255K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 18:59
780K
b2sum-20190729-r2.apk
2024-10-25 18:59
15K
b2sum-doc-20190729-r2.apk
2024-10-25 18:59
2.7K
babashka-1.3.188-r0.apk
2024-10-25 18:59
23M
backup-manager-0.7.15-r1.apk
2024-10-25 18:59
55K
bake-2.5.1-r0.apk
2024-10-25 18:59
109K
bakelite-0.4.2-r0.apk
2024-10-25 18:59
34K
bananui-2.0.0-r0.apk
2024-10-25 18:59
54K
bananui-clock-0.1.0-r0.apk
2024-10-25 18:59
6.6K
bananui-daemons-0.1.0-r0.apk
2024-10-25 18:59
44K
bananui-dbg-2.0.0-r0.apk
2024-10-25 18:59
173K
bananui-demos-2.0.0-r0.apk
2024-10-25 18:59
8.5K
bananui-dev-2.0.0-r0.apk
2024-10-25 18:59
91K
bananui-shell-0.2.0-r0.apk
2024-10-25 18:59
103K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 18:59
125K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 18:59
2.8K
bartib-1.0.1-r1.apk
2024-10-25 18:59
354K
base64c-0.2.1-r0.apk
2024-10-25 18:59
4.2K
base64c-dev-0.2.1-r0.apk
2024-10-25 18:59
5.3K
batmon-0.0.1-r0.apk
2024-10-25 18:59
439K
bazel6-6.5.0-r0.apk
2024-10-25 18:59
30M
bazel6-bash-completion-6.5.0-r0.apk
2024-10-25 18:59
42K
bcg729-1.1.1-r0.apk
2024-10-25 18:59
33K
bcg729-dev-1.1.1-r0.apk
2024-10-25 18:59
3.5K
bchunk-1.2.2-r3.apk
2024-10-25 18:59
6.9K
bchunk-doc-1.2.2-r3.apk
2024-10-25 18:59
3.0K
bdfr-2.6.2-r1.apk
2024-10-25 18:59
131K
beard-0.4-r0.apk
2024-10-25 18:59
3.1K
beard-doc-0.4-r0.apk
2024-10-25 18:59
2.5K
bees-0.10-r2.apk
2024-10-25 18:59
290K
bees-openrc-0.10-r2.apk
2024-10-25 18:59
1.9K
berry-lang-1.1.0-r0.apk
2024-10-25 18:59
113K
bestline-0.0_git20211108-r0.apk
2024-10-25 18:59
21K
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 18:59
1.7K
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 18:59
18M
bgpq4-1.15-r0.apk
2024-10-25 18:59
33K
bgpq4-doc-1.15-r0.apk
2024-10-25 18:59
6.3K
bgs-0.8-r1.apk
2024-10-25 18:59
5.7K
bgs-doc-0.8-r1.apk
2024-10-25 18:59
2.3K
birdtray-1.9.0-r1.apk
2024-10-25 18:59
407K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 18:59
59K
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 18:59
47K
bitritter-0.1.1-r0.apk
2024-10-25 18:59
2.3M
bkt-0.8.0-r0.apk
2024-10-25 18:59
360K
bkt-doc-0.8.0-r0.apk
2024-10-25 18:59
7.3K
blackbox-1.20220610-r1.apk
2024-10-25 18:59
16K
blip-0.10-r0.apk
2024-10-25 18:59
15K
blip-doc-0.10-r0.apk
2024-10-25 18:59
32K
bliss-0.77-r1.apk
2024-10-25 18:59
69K
bliss-dev-0.77-r1.apk
2024-10-25 18:59
107K
boinc-7.24.3-r0.apk
2024-10-25 18:59
1.5M
boinc-dev-7.24.3-r0.apk
2024-10-25 18:59
583K
boinc-doc-7.24.3-r0.apk
2024-10-25 18:59
8.0K
boinc-gui-7.24.3-r0.apk
2024-10-25 18:59
1.0M
boinc-lang-7.24.3-r0.apk
2024-10-25 18:59
877K
boinc-libs-7.24.3-r0.apk
2024-10-25 18:59
202K
boinc-screensaver-7.24.3-r0.apk
2024-10-25 18:59
124K
bonzomatic-20230615-r0.apk
2024-10-25 18:59
628K
bootinfo-0.1.0-r4.apk
2024-10-25 18:59
19K
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 18:59
8.2K
bootterm-0.5-r0.apk
2024-10-25 18:59
18K
bootterm-dbg-0.5-r0.apk
2024-10-25 18:59
2.4K
boson-0_git20211219-r0.apk
2024-10-25 18:59
17K
boxes-2.3.1-r0.apk
2024-10-25 18:59
77K
boxes-doc-2.3.1-r0.apk
2024-10-25 18:59
7.1K
brial-1.2.11-r4.apk
2024-10-25 18:59
1.0M
brial-dev-1.2.11-r4.apk
2024-10-25 18:59
1.6M
btfs-2.24-r12.apk
2024-10-25 18:59
29K
btfs-doc-2.24-r12.apk
2024-10-25 18:59
2.4K
btpd-0.16-r2.apk
2024-10-25 18:59
80K
btpd-doc-0.16-r2.apk
2024-10-25 18:59
8.4K
buildcache-0.28.9-r0.apk
2024-10-25 18:59
798K
bump2version-1.0.1-r6.apk
2024-10-25 18:59
21K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 18:59
29K
burp-3.1.4-r0.apk
2024-10-25 18:59
170K
burp-doc-3.1.4-r0.apk
2024-10-25 18:59
99K
burp-server-3.1.4-r0.apk
2024-10-25 18:59
36K
bwrap-oci-0.2-r1.apk
2024-10-25 18:59
16K
bwrap-oci-doc-0.2-r1.apk
2024-10-25 18:59
2.5K
cadence-0.9.2-r0.apk
2024-10-25 18:59
1.9M
caffeine-ng-4.2.0-r1.apk
2024-10-25 18:59
100K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 18:59
3.2K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 18:59
34K
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 18:59
25K
caps2esc-0.3.2-r0.apk
2024-10-25 18:59
4.4K
care-2.3.0-r1.apk
2024-10-25 18:59
95K
care-doc-2.3.0-r1.apk
2024-10-25 18:59
7.9K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 18:59
442K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 18:59
5.1K
castor-0.9.0-r2.apk
2024-10-25 18:59
723K
catcodec-1.0.5-r2.apk
2024-10-25 18:59
12K
catcodec-doc-1.0.5-r2.apk
2024-10-25 18:59
4.9K
catdoc-0.95-r1.apk
2024-10-25 18:59
108K
catdoc-doc-0.95-r1.apk
2024-10-25 18:59
9.2K
cc65-2.19-r0.apk
2024-10-25 18:59
8.9M
ccrtp-2.1.2-r0.apk
2024-10-25 18:59
91K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 18:59
53K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 18:59
31K
ccze-0.2.1-r1.apk
2024-10-25 18:59
51K
ccze-dev-0.2.1-r1.apk
2024-10-25 18:59
3.3K
ccze-doc-0.2.1-r1.apk
2024-10-25 18:59
8.8K
cdba-1.0-r2.apk
2024-10-25 18:59
7.6K
cdba-server-1.0-r2.apk
2024-10-25 18:59
21K
cddlib-0.94m-r2.apk
2024-10-25 18:59
184K
cddlib-dev-0.94m-r2.apk
2024-10-25 18:59
14K
cddlib-doc-0.94m-r2.apk
2024-10-25 18:59
864K
cddlib-static-0.94m-r2.apk
2024-10-25 18:59
251K
cddlib-tools-0.94m-r2.apk
2024-10-25 18:59
35K
cdist-7.0.0-r6.apk
2024-10-25 18:59
511K
cdist-pyc-7.0.0-r6.apk
2024-10-25 18:59
128K
cdogs-sdl-2.1.0-r0.apk
2024-10-25 18:59
33M
cgiirc-0.5.12-r1.apk
2024-10-25 18:59
133K
cgo-0.6.1-r1.apk
2024-10-25 18:59
9.9K
cgo-doc-0.6.1-r1.apk
2024-10-25 18:59
4.1K
chamo-4.0-r0.apk
2024-10-25 18:59
6.3M
chamo-byte-4.0-r0.apk
2024-10-25 18:59
1.4M
chamo-dev-4.0-r0.apk
2024-10-25 18:59
4.1M
charls-2.4.2-r0.apk
2024-10-25 18:59
64K
charls-dev-2.4.2-r0.apk
2024-10-25 18:59
27K
checkpolicy-3.6-r0.apk
2024-10-25 18:59
368K
checkpolicy-doc-3.6-r0.apk
2024-10-25 18:59
4.2K
chim-1.1.2-r1.apk
2024-10-25 18:59
1.7M
chim-doc-1.1.2-r1.apk
2024-10-25 18:59
2.8K
cimg-3.4.1-r0.apk
2024-10-25 18:59
826K
circuslinux-1.0.3-r1.apk
2024-10-25 18:59
19K
circuslinux-data-1.0.3-r1.apk
2024-10-25 18:59
1.1M
circuslinux-doc-1.0.3-r1.apk
2024-10-25 18:59
18K
clatd-1.6-r0.apk
2024-10-25 18:59
13K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 18:59
4.7K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 18:59
47K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 18:59
6.5K
clipit-1.4.5-r3.apk
2024-10-25 18:59
65K
clipit-doc-1.4.5-r3.apk
2024-10-25 18:59
2.4K
cluster-glue-1.0.12-r5.apk
2024-10-25 18:59
261K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 18:59
1.0M
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 18:59
33K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 18:59
112K
coccinelle-1.1.1-r2.apk
2024-10-25 18:59
6.7M
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 18:59
2.9K
coccinelle-doc-1.1.1-r2.apk
2024-10-25 18:59
16K
colormake-0.9.20170221-r0.apk
2024-10-25 18:59
4.0K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 18:59
2.7K
colorpicker-0_git20201128-r1.apk
2024-10-25 18:59
4.2K
commoncpp-7.0.1-r1.apk
2024-10-25 18:59
289K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 18:59
173K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 18:59
15K
commoncpp-tools-7.0.1-r1.apk
2024-10-25 18:59
47K
console_bridge-1.0.2-r0.apk
2024-10-25 18:59
9.6K
console_bridge-dev-1.0.2-r0.apk
2024-10-25 18:59
4.7K
cosmopolitan-1.0-r0.apk
2024-10-25 18:59
5.5M
cosmopolitan-doc-1.0-r0.apk
2024-10-25 18:59
152K
cowsay-3.04-r2.apk
2024-10-25 18:59
18K
cowsay-doc-3.04-r2.apk
2024-10-25 18:59
4.0K
coxeter-3.0-r1.apk
2024-10-25 18:59
48K
coxeter-dev-3.0-r1.apk
2024-10-25 18:59
57K
coxeter-libs-3.0-r1.apk
2024-10-25 18:59
311K
cpiped-0.1.0-r0.apk
2024-10-25 18:59
6.4K
cpuburn-1.4a_git20160316-r2.apk
2024-10-25 18:59
4.1K
cpufetch-1.06-r0.apk
2024-10-25 18:59
43K
cpufetch-doc-1.06-r0.apk
2024-10-25 18:59
3.1K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 18:59
34K
createrepo_c-1.1.4-r0.apk
2024-10-25 18:59
47K
createrepo_c-bash-completion-1.1.4-r0.apk
2024-10-25 18:59
2.9K
createrepo_c-dev-1.1.4-r0.apk
2024-10-25 18:59
31K
createrepo_c-doc-1.1.4-r0.apk
2024-10-25 18:59
8.7K
createrepo_c-libs-1.1.4-r0.apk
2024-10-25 18:59
88K
crispy-doom-7.0-r0.apk
2024-10-25 18:59
1.7M
crispy-doom-doc-7.0-r0.apk
2024-10-25 18:59
107K
crossplane-0.5.8-r3.apk
2024-10-25 18:59
30K
crossplane-pyc-0.5.8-r3.apk
2024-10-25 18:59
39K
cscope-15.9-r1.apk
2024-10-25 18:59
151K
cscope-doc-15.9-r1.apk
2024-10-25 18:59
7.5K
csfml-2.5.2-r0.apk
2024-10-25 18:59
93K
csfml-dev-2.5.2-r0.apk
2024-10-25 18:59
77K
csfml-doc-2.5.2-r0.apk
2024-10-25 18:59
204K
csmith-2.3.0-r2.apk
2024-10-25 18:59
304K
csmith-doc-2.3.0-r2.apk
2024-10-25 18:59
3.1K
csol-1.6.0-r0.apk
2024-10-25 18:59
38K
csol-doc-1.6.0-r0.apk
2024-10-25 18:59
3.8K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 18:59
86K
cura-5.2.2-r1.apk
2024-10-25 18:59
42M
cura-lang-5.2.2-r1.apk
2024-10-25 18:59
4.1M
curlftpfs-0.9.2-r3.apk
2024-10-25 18:59
25K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 18:59
6.1K
cutechess-1.3.1-r0.apk
2024-10-25 18:59
1.1M
cutechess-cli-1.3.1-r0.apk
2024-10-25 18:59
324K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 18:59
6.6K
cutechess-doc-1.3.1-r0.apk
2024-10-25 18:59
3.6K
cvs-fast-export-1.65-r0.apk
2024-10-25 18:59
46K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 18:59
17K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 18:59
8.7K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 18:59
6.7K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 18:59
2.3K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 18:59
6.9K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 18:59
219K
daemontools-0.76-r3.apk
2024-10-25 18:59
61K
daemontools-openrc-0.76-r3.apk
2024-10-25 18:59
2.0K
daktilo-0.6.0-r0.apk
2024-10-25 18:59
1.8M
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 18:59
2.2K
daktilo-doc-0.6.0-r0.apk
2024-10-25 18:59
8.7K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 18:59
1.9K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 18:59
2.3K
dasht-2.4.0-r0.apk
2024-10-25 18:59
14K
dasht-doc-2.4.0-r0.apk
2024-10-25 18:59
11K
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 18:59
2.1K
dcnnt-0.10.0-r1.apk
2024-10-25 18:59
28K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 18:59
6.6K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 18:59
62K
ddgr-2.2-r0.apk
2024-10-25 18:59
20K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 18:59
2.2K
ddgr-doc-2.2-r0.apk
2024-10-25 18:59
12K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 18:59
2.3K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 18:59
2.7K
ddserver-0_git20200930-r1.apk
2024-10-25 18:59
12K
deadbeef-soxr-20180801-r0.apk
2024-10-25 18:59
6.0K
debconf-1.5.82-r0.apk
2024-10-25 18:59
69K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 18:59
1.9K
debconf-doc-1.5.82-r0.apk
2024-10-25 18:59
27K
debconf-lang-1.5.82-r0.apk
2024-10-25 18:59
132K
debconf-utils-1.5.82-r0.apk
2024-10-25 18:59
6.7K
dehydrated-0.7.1-r0.apk
2024-10-25 18:59
26K
desed-1.2.1-r1.apk
2024-10-25 18:59
386K
desed-doc-1.2.1-r1.apk
2024-10-25 18:59
2.9K
detox-2.0.0-r0.apk
2024-10-25 18:59
108K
detox-doc-2.0.0-r0.apk
2024-10-25 18:59
21K
devil-1.8.0-r0.apk
2024-10-25 18:59
241K
devil-dev-1.8.0-r0.apk
2024-10-25 18:59
13K
dewduct-0.2.3-r0.apk
2024-10-25 18:59
1.1M
dfu-programmer-1.1.0-r0.apk
2024-10-25 18:59
35K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 18:59
2.8K
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 18:59
5.8K
disfetch-3.7-r0.apk
2024-10-25 18:59
8.3K
diskonaut-0.11.0-r3.apk
2024-10-25 18:59
440K
dlib-19.24.4-r0.apk
2024-10-25 18:59
765K
dlib-dev-19.24.4-r0.apk
2024-10-25 18:59
2.4M
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 18:59
29K
dnsenum-1.3.2-r0.apk
2024-10-25 18:59
21K
dnsenum-doc-1.3.2-r0.apk
2024-10-25 18:59
5.2K
dnsperf-2.14.0-r0.apk
2024-10-25 18:59
71K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 18:59
35K
dotenv-linter-3.3.0-r1.apk
2024-10-25 18:59
1.0M
dotnet6-stage0-6.0.116-r4.apk
2024-10-25 19:00
1.2K
dotnet6-stage0-artifacts-6.0.116-r4.apk
2024-10-25 19:00
1.0G
dotnet6-stage0-bootstrap-6.0.116-r4.apk
2024-10-25 19:00
409M
drumgizmo-0.9.20-r1.apk
2024-10-25 19:00
395K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 19:00
45K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 19:00
2.9K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 19:00
6.9K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 19:00
2.3K
duc-1.4.5-r0.apk
2024-10-25 19:00
84K
duc-doc-1.4.5-r0.apk
2024-10-25 19:00
9.1K
dulcepan-1.0.2-r0.apk
2024-10-25 19:00
20K
dune-deps-1.3.0-r2.apk
2024-10-25 19:00
798K
dustracing2d-2.1.1-r1.apk
2024-10-25 19:00
5.1M
dvdbackup-0.4.2-r1.apk
2024-10-25 19:00
16K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 19:00
7.6K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 19:00
1.4K
dwl-0.7-r0.apk
2024-10-25 19:00
27K
dwl-doc-0.7-r0.apk
2024-10-25 19:00
3.1K
eatmemory-0.1.6-r2.apk
2024-10-25 19:00
4.3K
eboard-1.1.3-r1.apk
2024-10-25 19:00
1.4M
eboard-doc-1.1.3-r1.apk
2024-10-25 19:00
4.7K
ecos-2.0.10-r0.apk
2024-10-25 19:00
39K
ecos-dev-2.0.10-r0.apk
2024-10-25 19:00
28K
edward-1.1.0-r0.apk
2024-10-25 19:00
2.0M
edward-doc-1.1.0-r0.apk
2024-10-25 19:00
5.3K
electron-tasje-0.7.3-r0.apk
2024-10-25 19:00
1.2M
elf_diff-0.7.1-r3.apk
2024-10-25 19:00
108K
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 19:00
108K
elfio-3.12-r0.apk
2024-10-25 19:00
1.4K
elfio-dev-3.12-r0.apk
2024-10-25 19:00
55K
eludris-0.3.3-r1.apk
2024-10-25 19:00
1.9M
eludris-doc-0.3.3-r1.apk
2024-10-25 19:00
2.3K
emacs-ace-window-0.10.0_git20220911-r0.apk
2024-10-25 19:00
23K
emacs-avy-0.5.0_git20230420-r0.apk
2024-10-25 19:00
43K
emacs-centaur-tabs-3.2_git20230601-r0.apk
2024-10-25 19:00
55K
emacs-closql-1.2.1_git20240712-r0.apk
2024-10-25 19:00
14K
emacs-consult-1.4_git20240405-r0.apk
2024-10-25 19:00
138K
emacs-emacsql-3.1.1_git20240714-r0.apk
2024-10-25 19:00
23K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
2024-10-25 19:00
6.1K
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
2024-10-25 19:00
5.9K
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
2024-10-25 19:00
17K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
2024-10-25 19:00
4.3K
emacs-epkg-3.3.3_git20240713-r0.apk
2024-10-25 19:00
37K
emacs-fossil-0_git20230504-r0.apk
2024-10-25 19:00
15K
emacs-gnosis-0.3.2-r0.apk
2024-10-25 19:00
62K
emacs-hackernews-0.7.0-r0.apk
2024-10-25 19:00
16K
emacs-helm-3.9.7_git20240329-r0.apk
2024-10-25 19:00
815K
emacs-hnreader-0_git20221116-r0.apk
2024-10-25 19:00
9.8K
emacs-hydra-0.15.0_git20220910-r0.apk
2024-10-25 19:00
46K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 19:00
6.6K
emacs-powerline-2.4_git20221110-r0.apk
2024-10-25 19:00
29K
emacs-sqlite3-api-0.18-r0.apk
2024-10-25 19:00
17K
emacs-svg-lib-0_git20240219-r0.apk
2024-10-25 19:00
19K
empede-0.2.3-r0.apk
2024-10-25 19:00
1.6M
empede-doc-0.2.3-r0.apk
2024-10-25 19:00
2.3K
empede-openrc-0.2.3-r0.apk
2024-10-25 19:00
1.9K
emulationstation-2.11.2-r1.apk
2024-10-25 19:00
1.3M
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 19:00
3.3M
endless-sky-0.10.2-r0.apk
2024-10-25 19:00
242M
endless-sky-doc-0.10.2-r0.apk
2024-10-25 19:00
37K
enjoy-0.3-r1.apk
2024-10-25 19:00
12K
enlighten-0.9.2-r1.apk
2024-10-25 19:00
7.0K
enlighten-doc-0.9.2-r1.apk
2024-10-25 19:00
3.5K
envsubst-0.1-r1.apk
2024-10-25 19:00
4.7K
epoch-1.3.0-r2.apk
2024-10-25 19:00
55K
epr-2.4.15-r1.apk
2024-10-25 19:00
16K
epr-pyc-2.4.15-r1.apk
2024-10-25 19:00
24K
espeakup-0.90-r2.apk
2024-10-25 19:00
11K
espeakup-openrc-0.90-r2.apk
2024-10-25 19:00
1.8K
esptool-4.8.1-r0.apk
2024-10-25 19:00
424K
esptool-pyc-4.8.1-r0.apk
2024-10-25 19:00
549K
ettercap-0.8.3.1-r3.apk
2024-10-25 19:00
556K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 19:00
45K
eva-0.3.1-r2.apk
2024-10-25 19:00
632K
eww-0.4.0-r1.apk
2024-10-25 19:00
1.5M
eww-dbg-0.4.0-r1.apk
2024-10-25 19:00
651K
extrace-0.9-r0.apk
2024-10-25 19:00
9.4K
extrace-doc-0.9-r0.apk
2024-10-25 19:00
3.5K
extremetuxracer-0.8.3-r0.apk
2024-10-25 19:00
40M
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 19:00
6.7K
extundelete-0.2.4-r1.apk
2024-10-25 19:00
39K
fabric-3.2.2-r1.apk
2024-10-25 19:00
55K
fabric-pyc-3.2.2-r1.apk
2024-10-25 19:00
60K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 19:00
28K
fatback-1.3-r2.apk
2024-10-25 19:00
28K
fatback-doc-1.3-r2.apk
2024-10-25 19:00
16K
fatresize-1.1.0-r1.apk
2024-10-25 19:00
8.7K
fatresize-doc-1.1.0-r1.apk
2024-10-25 19:00
15K
faultstat-0.01.11-r0.apk
2024-10-25 19:00
12K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 19:00
2.3K
faultstat-doc-0.01.11-r0.apk
2024-10-25 19:00
3.0K
fava-1.28-r0.apk
2024-10-25 19:00
1.1M
fava-pyc-1.28-r0.apk
2024-10-25 19:00
164K
fbcur-1.0.1-r1.apk
2024-10-25 19:00
4.5K
fbcur-doc-1.0.1-r1.apk
2024-10-25 19:00
2.2K
fdm-materials-5.2.2-r1.apk
2024-10-25 19:00
60K
featherpad-1.5.1-r0.apk
2024-10-25 19:00
709K
featherpad-lang-1.5.1-r0.apk
2024-10-25 19:00
463K
fff-2.2-r0.apk
2024-10-25 19:00
11K
fff-doc-2.2-r0.apk
2024-10-25 19:00
9.0K
fflas-ffpack-2.5.0-r3.apk
2024-10-25 19:00
345K
ffsend-0.2.76-r4.apk
2024-10-25 19:00
1.5M
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 19:00
3.6K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 19:00
3.6K
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 19:00
4.6K
fiery-2.0.0-r0.apk
2024-10-25 19:00
269K
fiery-lang-2.0.0-r0.apk
2024-10-25 19:00
54K
fildesh-0.2.0-r0.apk
2024-10-25 19:00
67K
fildesh-doc-0.2.0-r0.apk
2024-10-25 19:00
2.1K
fildesh-vim-0.2.0-r0.apk
2024-10-25 19:00
3.5K
filite-0.3.0-r2.apk
2024-10-25 19:00
1.1M
findtow-0.1-r0.apk
2024-10-25 19:00
4.8K
finger-0.5-r0.apk
2024-10-25 19:00
6.4K
finger-doc-0.5-r0.apk
2024-10-25 19:00
3.8K
firehol-3.1.7-r2.apk
2024-10-25 19:01
85K
firehol-doc-3.1.7-r2.apk
2024-10-25 19:01
675K
firehol-openrc-3.1.7-r2.apk
2024-10-25 19:01
2.1K
flare-engine-1.14-r0.apk
2024-10-25 19:01
4.4M
flare-engine-doc-1.14-r0.apk
2024-10-25 19:01
2.5K
flare-game-1.14-r0.apk
2024-10-25 19:01
2.2K
flauschige-uhr-0.1-r1.apk
2024-10-25 19:01
4.2K
flintqs-1.0-r1.apk
2024-10-25 19:01
20K
fnf-0.1-r0.apk
2024-10-25 19:01
16K
fnf-doc-0.1-r0.apk
2024-10-25 19:01
4.6K
foma-0.10.0_git20240712-r0.apk
2024-10-25 19:01
331K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 19:01
8.5K
font-anonymous-pro-1.002-r2.apk
2024-10-25 19:01
264K
font-babelstone-han-15.1.3-r0.apk
2024-10-25 19:01
18M
font-chivo-0_git20221110-r0.apk
2024-10-25 19:01
792K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 19:01
626K
font-comic-neue-2.51-r0.apk
2024-10-25 19:01
249K
font-comic-neue-doc-2.51-r0.apk
2024-10-25 19:01
1.0M
font-commit-mono-1.143-r0.apk
2024-10-25 19:01
251K
font-cousine-0_git20210228-r0.apk
2024-10-25 19:01
110K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 19:01
1.2K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 19:01
5.5K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 19:01
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 19:01
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 19:01
316K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 19:01
316K
font-fira-code-6.2-r0.apk
2024-10-25 19:01
836K
font-fira-code-vf-6.2-r0.apk
2024-10-25 19:01
145K
font-firamath-0.3.4-r0.apk
2024-10-25 19:01
118K
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 19:01
205K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 19:01
281K
font-katex-0.16.2-r0.apk
2024-10-25 19:01
852K
font-material-icons-4.0.0-r0.apk
2024-10-25 19:01
652K
font-monaspace-1.101-r0.apk
2024-10-25 19:01
1.5K
font-monaspace-argon-1.101-r0.apk
2024-10-25 19:01
2.2M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 19:01
2.1M
font-monaspace-neon-1.101-r0.apk
2024-10-25 19:01
2.1M
font-monaspace-radon-1.101-r0.apk
2024-10-25 19:01
2.7M
font-monaspace-xenon-1.101-r0.apk
2024-10-25 19:01
2.3M
font-monocraft-4.0-r0.apk
2024-10-25 19:01
677K
font-siji-20190218_git-r2.apk
2024-10-25 19:01
24K
font-stix-otf-2.13-r0.apk
2024-10-25 19:01
2.0M
font-stix-ttf-2.13-r0.apk
2024-10-25 19:01
430K
font-tamzen-1.11.5-r1.apk
2024-10-25 19:01
62K
font-tinos-0_git20210228-r0.apk
2024-10-25 19:01
199K
font-tiresias-0_git20200704-r0.apk
2024-10-25 19:01
568K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 19:01
58K
foolsm-1.0.21-r0.apk
2024-10-25 19:01
33K
foolsm-doc-1.0.21-r0.apk
2024-10-25 19:01
3.9K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 19:01
1.5K
fpc-3.2.2-r4.apk
2024-10-25 19:01
71M
fpc-doc-3.2.2-r4.apk
2024-10-25 19:01
1.2M
fpc-stage0-3.2.2-r3.apk
2024-10-25 19:01
6.3M
fpp-0.9.5-r0.apk
2024-10-25 19:01
29K
fpp-doc-0.9.5-r0.apk
2024-10-25 19:01
5.6K
freealut-1.1.0-r1.apk
2024-10-25 19:01
18K
freealut-dev-1.1.0-r1.apk
2024-10-25 19:01
23K
freediameter-1.5.0-r1.apk
2024-10-25 19:01
9.5K
freediameter-dev-1.5.0-r1.apk
2024-10-25 19:01
54K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 19:01
391K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 19:01
176K
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 19:01
94K
frescobaldi-3.3.0-r1.apk
2024-10-25 19:01
3.5M
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 19:01
2.5K
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 19:01
1.2M
freshrss-1.23.1-r1.apk
2024-10-25 19:01
1.5M
freshrss-doc-1.23.1-r1.apk
2024-10-25 19:01
751K
freshrss-lang-1.23.1-r1.apk
2024-10-25 19:01
379K
freshrss-mysql-1.23.1-r1.apk
2024-10-25 19:01
1.5K
freshrss-openrc-1.23.1-r1.apk
2024-10-25 19:01
2.5K
freshrss-pgsql-1.23.1-r1.apk
2024-10-25 19:01
1.5K
freshrss-sqlite-1.23.1-r1.apk
2024-10-25 19:01
1.5K
freshrss-themes-1.23.1-r1.apk
2024-10-25 19:01
1.5M
fulcrum-1.9.8-r1.apk
2024-10-25 19:01
937K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 19:01
7.9K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 19:01
22K
fusee-nano-0.5.3-r1.apk
2024-10-25 19:01
21K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 19:01
1.7K
fusesoc-2.3-r0.apk
2024-10-25 19:01
46K
fusesoc-pyc-2.3-r0.apk
2024-10-25 19:01
89K
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 19:01
5.5M
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 19:01
1.1M
gammastep-2.0.9-r3.apk
2024-10-25 19:01
89K
gammastep-doc-2.0.9-r3.apk
2024-10-25 19:01
14K
gammastep-lang-2.0.9-r3.apk
2024-10-25 19:01
78K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 19:01
17K
gatling-0.16-r6.apk
2024-10-25 19:01
142K
gatling-doc-0.16-r6.apk
2024-10-25 19:01
9.1K
gatling-openrc-0.16-r6.apk
2024-10-25 19:01
2.8K
gaupol-1.12-r2.apk
2024-10-25 19:01
276K
gaupol-doc-1.12-r2.apk
2024-10-25 19:01
2.4K
gaupol-lang-1.12-r2.apk
2024-10-25 19:01
277K
gaupol-pyc-1.12-r2.apk
2024-10-25 19:01
419K
gede-2.18.2-r1.apk
2024-10-25 19:01
261K
genact-1.4.2-r0.apk
2024-10-25 19:01
1.4M
geomyidae-0.34-r2.apk
2024-10-25 19:01
15K
geomyidae-doc-0.34-r2.apk
2024-10-25 19:01
7.7K
geomyidae-openrc-0.34-r2.apk
2024-10-25 19:01
2.0K
geonames-0.3.1-r2.apk
2024-10-25 19:01
827K
geonames-dev-0.3.1-r2.apk
2024-10-25 19:01
3.0K
geonames-doc-0.3.1-r2.apk
2024-10-25 19:01
13K
geonames-lang-0.3.1-r2.apk
2024-10-25 19:01
4.6M
getssl-2.48-r0.apk
2024-10-25 19:01
82K
gf2x-1.3.0-r1.apk
2024-10-25 19:01
40K
gf2x-dev-1.3.0-r1.apk
2024-10-25 19:01
68K
gfan-0.6.2-r1.apk
2024-10-25 19:01
1.6M
ghc-filesystem-1.5.14-r0.apk
2024-10-25 19:01
39K
ginger-2.4.0-r7.apk
2024-10-25 19:01
257K
ginger-lang-2.4.0-r7.apk
2024-10-25 19:01
125K
ginger-pyc-2.4.0-r7.apk
2024-10-25 19:01
207K
gingerbase-2.3.0-r7.apk
2024-10-25 19:01
195K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 19:01
53K
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 19:01
61K
git-revise-0.7.0-r5.apk
2024-10-25 19:01
24K
git-revise-doc-0.7.0-r5.apk
2024-10-25 19:01
5.0K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 19:01
42K
git-secret-0.5.0-r0.apk
2024-10-25 19:01
15K
git-secret-doc-0.5.0-r0.apk
2024-10-25 19:01
17K
git2json-0.2.3-r8.apk
2024-10-25 19:01
7.4K
git2json-pyc-0.2.3-r8.apk
2024-10-25 19:01
5.7K
gitoxide-0.14.0-r1.apk
2024-10-25 19:01
2.7M
glfw-wayland-3.3.8-r3.apk
2024-10-25 19:01
61K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 19:01
195K
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 19:01
46K
glmark2-2023.01-r1.apk
2024-10-25 19:01
7.9M
glmark2-doc-2023.01-r1.apk
2024-10-25 19:01
13K
gloox-1.0.28-r0.apk
2024-10-25 19:01
364K
gloox-dev-1.0.28-r0.apk
2024-10-25 19:01
878K
gmsh-4.12.2-r2.apk
2024-10-25 19:01
8.9M
gmsh-dbg-4.12.2-r2.apk
2024-10-25 19:01
144M
gmsh-doc-4.12.2-r2.apk
2024-10-25 19:01
1.9M
gmsh-py-4.12.2-r2.apk
2024-10-25 19:01
6.7K
gnome-common-3.18.0-r3.apk
2024-10-25 19:01
12K
gnome-metronome-1.3.0-r0.apk
2024-10-25 19:01
458K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 19:01
25K
gnu-apl-1.9-r0.apk
2024-10-25 19:01
1.2M
gnu-apl-dev-1.9-r0.apk
2024-10-25 19:01
589K
gnu-apl-doc-1.9-r0.apk
2024-10-25 19:01
1.6M
gobang-0.1.0_alpha5-r1.apk
2024-10-25 19:01
1.9M
goomwwm-1.0.0-r5.apk
2024-10-25 19:01
46K
goxel-0.15.1-r0.apk
2024-10-25 19:01
1.7M
gprbuild-22.0.0-r3.apk
2024-10-25 19:01
12M
gpsbabel-1.9.0-r0.apk
2024-10-25 19:01
1.3M
gpsbabel-lang-1.9.0-r0.apk
2024-10-25 19:01
88K
granite7-7.5.0-r0.apk
2024-10-25 19:01
119K
granite7-dev-7.5.0-r0.apk
2024-10-25 19:01
41K
granite7-lang-7.5.0-r0.apk
2024-10-25 19:01
52K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 19:01
19K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 19:01
2.2K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 19:01
3.3K
grip-4.2.4-r0.apk
2024-10-25 19:01
381K
grip-doc-4.2.4-r0.apk
2024-10-25 19:01
6.2K
grip-lang-4.2.4-r0.apk
2024-10-25 19:01
144K
grpc-health-check-0.1.1-r3.apk
2024-10-25 19:01
1.0M
gsimplecal-2.5.1-r0.apk
2024-10-25 19:01
16K
gsimplecal-doc-2.5.1-r0.apk
2024-10-25 19:01
5.8K
gtkhash-1.5-r0.apk
2024-10-25 19:01
87K
gtkhash-lang-1.5-r0.apk
2024-10-25 19:01
47K
gtkwave-3.3.120-r0.apk
2024-10-25 19:01
2.5M
gtkwave-doc-3.3.120-r0.apk
2024-10-25 19:01
27K
guake-3.10-r1.apk
2024-10-25 19:01
305K
guake-lang-3.10-r1.apk
2024-10-25 19:01
188K
guake-pyc-3.10-r1.apk
2024-10-25 19:01
186K
h4h5tools-2.2.5-r4.apk
2024-10-25 19:01
108K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 19:01
8.8K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 19:01
2.7K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 19:01
107K
habitctl-0.1.0-r2.apk
2024-10-25 19:01
324K
halp-0.2.0-r0.apk
2024-10-25 19:01
1.1M
halp-bash-completion-0.2.0-r0.apk
2024-10-25 19:01
2.2K
halp-doc-0.2.0-r0.apk
2024-10-25 19:01
6.9K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 19:01
2.0K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 19:01
2.4K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 19:01
156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 19:01
2.0K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 19:01
116K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 19:01
206K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 19:01
358K
handlebars-1.0.0-r1.apk
2024-10-25 19:01
106K
handlebars-dev-1.0.0-r1.apk
2024-10-25 19:01
32K
handlebars-utils-1.0.0-r1.apk
2024-10-25 19:01
10K
hardened-malloc-13-r0.apk
2024-10-25 19:01
34K
harminv-1.4.2-r1.apk
2024-10-25 19:01
7.6K
harminv-dev-1.4.2-r1.apk
2024-10-25 19:01
3.1K
harminv-doc-1.4.2-r1.apk
2024-10-25 19:01
5.7K
harminv-libs-1.4.2-r1.apk
2024-10-25 19:01
14K
hashcat-6.2.6-r0.apk
2024-10-25 19:01
61M
hashcat-doc-6.2.6-r0.apk
2024-10-25 19:01
2.1M
haskell-language-server-2.9.0.0-r0.apk
2024-10-25 19:01
68M
hatop-0.8.2-r0.apk
2024-10-25 19:01
18K
hatop-doc-0.8.2-r0.apk
2024-10-25 19:01
3.0K
hddfancontrol-1.6.2-r0.apk
2024-10-25 19:01
33K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 19:01
2.2K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 19:01
34K
hdf4-4.2.15-r2.apk
2024-10-25 19:01
254K
hdf4-dev-4.2.15-r2.apk
2024-10-25 19:01
101K
hdf4-doc-4.2.15-r2.apk
2024-10-25 19:01
6.0K
hdf4-tools-4.2.15-r2.apk
2024-10-25 19:01
186K
heh-0.6.1-r0.apk
2024-10-25 19:01
472K
heh-doc-0.6.1-r0.apk
2024-10-25 19:01
4.1K
helvum-0.5.1-r0.apk
2024-10-25 19:01
313K
herbe-1.0.0-r0.apk
2024-10-25 19:01
5.8K
hex-0.6.0-r0.apk
2024-10-25 19:01
293K
hexedit-1.6_git20230905-r0.apk
2024-10-25 19:01
16K
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 19:01
5.6K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 19:01
74K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 19:01
126K
himitsu-keyring-0.2.0-r0.apk
2024-10-25 19:01
13K
hiprompt-gtk-py-0.8.0-r0.apk
2024-10-25 19:01
8.3K
hitide-0.15.0-r0.apk
2024-10-25 19:01
1.9M
hitide-openrc-0.15.0-r0.apk
2024-10-25 19:01
2.1K
horizon-0.9.6-r9.apk
2024-10-25 19:01
199K
horizon-dbg-0.9.6-r9.apk
2024-10-25 19:01
4.0M
horizon-dev-0.9.6-r9.apk
2024-10-25 19:01
4.9K
horizon-doc-0.9.6-r9.apk
2024-10-25 19:01
21K
horizon-image-0.9.6-r9.apk
2024-10-25 19:01
66K
horizon-tools-0.9.6-r9.apk
2024-10-25 19:01
79K
hping3-20051105-r4.apk
2024-10-25 19:01
72K
hping3-doc-20051105-r4.apk
2024-10-25 19:01
17K
hsetroot-1.0.5-r1.apk
2024-10-25 19:01
11K
hstdb-2.1.0-r2.apk
2024-10-25 19:01
878K
htmlcxx-0.87-r1.apk
2024-10-25 19:01
63K
htmlcxx-dev-0.87-r1.apk
2024-10-25 19:01
21K
htslib-1.19-r0.apk
2024-10-25 19:01
394K
htslib-dev-1.19-r0.apk
2024-10-25 19:01
115K
htslib-doc-1.19-r0.apk
2024-10-25 19:01
23K
htslib-static-1.19-r0.apk
2024-10-25 19:01
494K
htslib-tools-1.19-r0.apk
2024-10-25 19:01
1.3M
httpie-oauth-1.0.2-r9.apk
2024-10-25 19:01
3.4K
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 19:01
2.3K
httrack-3.49.2-r5.apk
2024-10-25 19:01
742K
httrack-doc-3.49.2-r5.apk
2024-10-25 19:01
528K
hunspell-ca-es-3.0.7-r0.apk
2024-10-25 19:01
731K
hwatch-0.3.11-r0.apk
2024-10-25 19:01
1.0M
hwatch-doc-0.3.11-r0.apk
2024-10-25 19:01
3.0K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 19:01
1.8K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 19:01
1.9K
hx-1.0.15-r0.apk
2024-10-25 19:01
14K
hx-doc-1.0.15-r0.apk
2024-10-25 19:01
4.8K
hypnotix-3.5-r0.apk
2024-10-25 19:01
110K
hypnotix-lang-3.5-r0.apk
2024-10-25 19:01
72K
hyx-2024.02.29-r0.apk
2024-10-25 19:01
17K
hyx-doc-2024.02.29-r0.apk
2024-10-25 19:01
2.2K
i2util-4.2.1-r1.apk
2024-10-25 19:01
20K
i2util-dev-4.2.1-r1.apk
2024-10-25 19:01
44K
i2util-doc-4.2.1-r1.apk
2024-10-25 19:01
4.7K
icesprog-0_git20240108-r1.apk
2024-10-25 19:01
8.8K
icesprog-udev-0_git20240108-r1.apk
2024-10-25 19:01
1.9K
icestorm-0_git20240517-r0.apk
2024-10-25 19:01
17M
icingaweb2-module-fileshipper-1.2.0-r3.apk
2024-10-25 19:01
11K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2024-10-25 19:01
231K
icingaweb2-module-generictts-2.1.0-r0.apk
2024-10-25 19:01
6.6K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2024-10-25 19:01
1.8K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 19:01
9.0K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 19:01
1.6K
idesk-1-r1.apk
2024-10-25 19:01
70K
igrep-1.2.0-r0.apk
2024-10-25 19:01
1.6M
igrep-doc-1.2.0-r0.apk
2024-10-25 19:01
4.2K
imapfilter-2.8.2-r0.apk
2024-10-25 19:01
41K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 19:01
13K
imediff-2.6-r1.apk
2024-10-25 19:01
42K
imediff-doc-2.6-r1.apk
2024-10-25 19:01
6.5K
imediff-pyc-2.6-r1.apk
2024-10-25 19:01
44K
imrsh-0_git20210320-r1.apk
2024-10-25 19:01
8.8K
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 19:01
19K
initify-0_git20171210-r1.apk
2024-10-25 19:01
3.3K
innernet-1.6.1-r0.apk
2024-10-25 19:01
2.7M
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 19:01
3.8K
innernet-doc-1.6.1-r0.apk
2024-10-25 19:01
9.0K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 19:01
4.5K
innernet-openrc-1.6.1-r0.apk
2024-10-25 19:01
2.3K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 19:01
5.5K
interception-tools-0.6.8-r2.apk
2024-10-25 19:01
107K
interception-tools-openrc-0.6.8-r2.apk
2024-10-25 19:01
1.7K
ip2location-8.6.1-r0.apk
2024-10-25 19:01
25K
ip2location-dev-8.6.1-r0.apk
2024-10-25 19:01
12K
ip2location-doc-8.6.1-r0.apk
2024-10-25 19:01
2.7K
iprange-1.0.4-r1.apk
2024-10-25 19:01
20K
iprange-doc-1.0.4-r1.apk
2024-10-25 19:01
4.5K
irccd-4.0.3-r0.apk
2024-10-25 19:01
259K
irccd-dev-4.0.3-r0.apk
2024-10-25 19:01
9.6K
irccd-doc-4.0.3-r0.apk
2024-10-25 19:01
80K
irccd-openrc-4.0.3-r0.apk
2024-10-25 19:01
1.8K
isoinfo-0_git20131217-r1.apk
2024-10-25 19:01
6.5K
it87-src-1_p20240609-r0.apk
2024-10-25 19:01
30K
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 19:01
31K
jackline-0.1.0-r3.apk
2024-10-25 19:01
3.9M
jalv-1.6.8-r1.apk
2024-10-25 19:01
48K
jalv-doc-1.6.8-r1.apk
2024-10-25 19:01
3.2K
jalv-gtk-1.6.8-r1.apk
2024-10-25 19:01
32K
java-asmtools-8.0.09-r0.apk
2024-10-25 19:01
574K
java-asmtools-doc-8.0.09-r0.apk
2024-10-25 19:01
6.9K
java-jtharness-6.0_p12-r0.apk
2024-10-25 19:01
4.0M
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 19:01
12K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 19:01
219K
jbigkit-2.1-r2.apk
2024-10-25 19:01
67K
jbigkit-dev-2.1-r2.apk
2024-10-25 19:01
30K
jbigkit-doc-2.1-r2.apk
2024-10-25 19:01
7.3K
jdebp-redo-1.4-r1.apk
2024-10-25 19:01
93K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 19:01
12K
jdupes-1.28.0-r0.apk
2024-10-25 19:01
27K
jdupes-doc-1.28.0-r0.apk
2024-10-25 19:01
9.0K
jhead-3.08-r0.apk
2024-10-25 19:01
31K
jhead-doc-3.08-r0.apk
2024-10-25 19:01
7.9K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 19:01
560K
jsmn-1.1.0-r2.apk
2024-10-25 19:01
4.7K
json2tsv-1.2-r0.apk
2024-10-25 19:01
6.4K
json2tsv-doc-1.2-r0.apk
2024-10-25 19:01
5.2K
json2tsv-jaq-1.2-r0.apk
2024-10-25 19:01
1.9K
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 19:01
2.3K
junit2html-31.0.2-r0.apk
2024-10-25 19:01
17K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 19:01
24K
k2-0_git20220807-r1.apk
2024-10-25 19:01
97K
kabmat-2.7.0-r0.apk
2024-10-25 19:01
57K
kabmat-doc-2.7.0-r0.apk
2024-10-25 19:01
3.5K
kannel-1.5.0-r11.apk
2024-10-25 19:01
6.2M
kannel-dev-1.5.0-r11.apk
2024-10-25 19:01
919K
kannel-doc-1.5.0-r11.apk
2024-10-25 19:01
6.2K
keydb-6.3.4-r0.apk
2024-10-25 19:01
1.1M
keydb-benchmark-6.3.4-r0.apk
2024-10-25 19:01
372K
keydb-cli-6.3.4-r0.apk
2024-10-25 19:01
367K
keydb-openrc-6.3.4-r0.apk
2024-10-25 19:01
2.6K
keystone-0.9.2-r6.apk
2024-10-25 19:01
1.3M
keystone-dev-0.9.2-r6.apk
2024-10-25 19:01
7.3K
keystone-python-0.9.2-r6.apk
2024-10-25 19:01
1.6M
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 19:01
9.7K
kfc-0.1.4-r0.apk
2024-10-25 19:01
58K
kgraphviewer-2.5.0-r0.apk
2024-10-25 19:01
1.3M
kgraphviewer-dev-2.5.0-r0.apk
2024-10-25 19:01
6.3K
kgraphviewer-lang-2.5.0-r0.apk
2024-10-25 19:01
230K
khronos-4.0.1-r0.apk
2024-10-25 19:01
53K
khronos-lang-4.0.1-r0.apk
2024-10-25 19:01
26K
kjv-0_git20221103-r0.apk
2024-10-25 19:01
1.5M
klevernotes-1.1.0-r0.apk
2024-10-25 19:01
2.3M
klevernotes-lang-1.1.0-r0.apk
2024-10-25 19:01
142K
klfc-1.5.7-r0.apk
2024-10-25 19:01
4.2M
klfc-doc-1.5.7-r0.apk
2024-10-25 19:01
400K
kodi-audioencoder-flac-20.2.0-r1.apk
2024-10-25 19:01
43K
Kodi
kodi-audioencoder-lame-20.3.0-r1.apk
2024-10-25 19:01
92K
Kodi
kodi-audioencoder-vorbis-20.2.0-r1.apk
2024-10-25 19:01
35K
Kodi
kodi-audioencoder-wav-20.2.0-r1.apk
2024-10-25 19:01
27K
Kodi
kodi-game-libretro-20.1.0-r0.apk
2024-10-25 19:01
117K
Kodi
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2024-10-25 19:01
241K
Kodi
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2024-10-25 19:01
15K
Kodi
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2024-10-25 19:01
15K
Kodi
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2024-10-25 19:01
17K
Kodi
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2024-10-25 19:01
21K
Kodi
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2024-10-25 19:01
54K
Kodi
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2024-10-25 19:01
64K
Kodi
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2024-10-25 19:01
20K
Kodi
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2024-10-25 19:01
20K
Kodi
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2024-10-25 19:01
16K
Kodi
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2024-10-25 19:01
18K
Kodi
kodi-game-libretro-desmume-0.0.1.28-r0.apk
2024-10-25 19:01
64K
Kodi
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2024-10-25 19:01
74K
Kodi
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2024-10-25 19:01
180K
Kodi
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2024-10-25 19:01
160K
Kodi
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2024-10-25 19:01
326K
Kodi
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2024-10-25 19:01
1.3M
Kodi
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2024-10-25 19:01
19K
Kodi
kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk
2024-10-25 19:01
127K
Kodi
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2024-10-25 19:01
23K
Kodi
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2024-10-25 19:01
26K
Kodi
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2024-10-25 19:01
16K
Kodi
kodi-peripheral-joystick-20.1.9-r0.apk
2024-10-25 19:01
215K
Kodi
kodi-vfs-rar-20.1.0-r1.apk
2024-10-25 19:01
401K
Kodi
kodi-vfs-sacd-20.1.0-r1.apk
2024-10-25 19:01
93K
Kodi
kodi-vfs-sftp-20.2.0-r1.apk
2024-10-25 19:01
53K
Kodi
kondo-0.8-r0.apk
2024-10-25 19:01
692K
kondo-bash-completion-0.8-r0.apk
2024-10-25 19:01
2.1K
kondo-fish-completion-0.8-r0.apk
2024-10-25 19:01
2.1K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 19:01
2.5K
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 19:01
2.8M
kubesplit-0.3.3-r1.apk
2024-10-25 19:01
13K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 19:01
13K
ledmon-1.0.0-r0.apk
2024-10-25 19:01
79K
ledmon-doc-1.0.0-r0.apk
2024-10-25 19:01
14K
lem-2.2.0-r0.apk
2024-10-25 19:01
24M
lem-common-2.2.0-r0.apk
2024-10-25 19:01
11K
lem-gui-2.2.0-r0.apk
2024-10-25 19:01
26M
levmar-dev-2.6-r0.apk
2024-10-25 19:01
47K
lfm-3.1-r4.apk
2024-10-25 19:01
88K
lfm-doc-3.1-r4.apk
2024-10-25 19:01
2.8K
lfm-pyc-3.1-r4.apk
2024-10-25 19:01
134K
libabigail-2.3-r0.apk
2024-10-25 19:01
843K
libabigail-bash-completion-2.3-r0.apk
2024-10-25 19:01
3.0K
libabigail-dev-2.3-r0.apk
2024-10-25 19:01
1.2M
libabigail-doc-2.3-r0.apk
2024-10-25 19:01
61K
libabigail-tools-2.3-r0.apk
2024-10-25 19:01
107K
libantlr3c-3.4-r3.apk
2024-10-25 19:01
50K
libantlr3c-dev-3.4-r3.apk
2024-10-25 19:01
58K
libaudec-0.3.4-r3.apk
2024-10-25 19:01
27K
libaudec-dev-0.3.4-r3.apk
2024-10-25 19:01
4.3K
libaudec-static-0.3.4-r3.apk
2024-10-25 19:01
31K
libaudec-tools-0.3.4-r3.apk
2024-10-25 19:01
28K
libb64-2.0.0.1-r0.apk
2024-10-25 19:01
4.4K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 19:01
5.7K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 19:01
8.0K
libbamf-0.5.6-r1.apk
2024-10-25 19:01
149K
libbamf-dev-0.5.6-r1.apk
2024-10-25 19:01
6.4K
libbamf-doc-0.5.6-r1.apk
2024-10-25 19:01
31K
libblastrampoline-5.2.0-r0.apk
2024-10-25 19:01
330K
libblastrampoline-dev-5.2.0-r0.apk
2024-10-25 19:01
96K
libbloom-2.0-r0.apk
2024-10-25 19:01
5.5K
libbloom-dev-2.0-r0.apk
2024-10-25 19:01
3.5K
libbsoncxx-3.8.0-r0.apk
2024-10-25 19:01
43K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 19:01
39K
libcli-1.10.7-r0.apk
2024-10-25 19:01
30K
libcork-0.15.0-r7.apk
2024-10-25 19:01
33K
libcork-dev-0.15.0-r7.apk
2024-10-25 19:01
30K
libcork-tools-0.15.0-r7.apk
2024-10-25 19:01
4.4K
libcorkipset-1.1.1-r4.apk
2024-10-25 19:01
13K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 19:01
8.1K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 19:01
11K
libcotp-3.1.0-r0.apk
2024-10-25 19:01
7.9K
libcotp-dev-3.1.0-r0.apk
2024-10-25 19:01
2.5K
libctl-4.5.1-r1.apk
2024-10-25 19:01
97K
libctl-dev-4.5.1-r1.apk
2024-10-25 19:01
39K
libctl-doc-4.5.1-r1.apk
2024-10-25 19:01
3.0K
libcyaml-1.4.2-r0.apk
2024-10-25 19:01
20K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 19:01
13K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 19:01
8.6K
libcyaml-static-1.4.2-r0.apk
2024-10-25 19:01
25K
libecap-1.0.1-r1.apk
2024-10-25 19:01
13K
libecap-dev-1.0.1-r1.apk
2024-10-25 19:01
11K
libecap-static-1.0.1-r1.apk
2024-10-25 19:01
16K
libemf2svg-1.1.0-r2.apk
2024-10-25 19:01
163K
libemf2svg-utils-1.1.0-r2.apk
2024-10-25 19:01
18K
liberasurecode-1.6.3-r1.apk
2024-10-25 19:01
41K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 19:01
18K
libettercap-0.8.3.1-r3.apk
2024-10-25 19:01
195K
libfishsound-1.0.0-r1.apk
2024-10-25 19:01
9.6K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 19:01
62K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 19:01
75K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 19:01
104K
libfort-0.4.2-r0.apk
2024-10-25 19:01
31K
libfort-dev-0.4.2-r0.apk
2024-10-25 19:01
17K
libfyaml-0.9-r0.apk
2024-10-25 19:01
342K
libfyaml-dev-0.9-r0.apk
2024-10-25 19:01
42K
libfyaml-doc-0.9-r0.apk
2024-10-25 19:01
7.4K
libgivaro-4.2.0-r2.apk
2024-10-25 19:01
75K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 19:01
244K
libgivaro-static-4.2.0-r2.apk
2024-10-25 19:01
90K
libhomfly-1.02_p6-r1.apk
2024-10-25 19:01
16K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 19:01
19K
libhwpwm-0.4.4-r0.apk
2024-10-25 19:01
5.4K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 19:01
5.8K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 19:01
13K
libibmad-1.3.13-r2.apk
2024-10-25 19:01
31K
libibmad-dev-1.3.13-r2.apk
2024-10-25 19:01
13K
libibumad-1.3.10.2-r3.apk
2024-10-25 19:01
16K
libibumad-dev-1.3.10.2-r3.apk
2024-10-25 19:01
7.6K
libibumad-doc-1.3.10.2-r3.apk
2024-10-25 19:01
23K
libiio-0.25-r2.apk
2024-10-25 19:01
51K
libiio-dev-0.25-r2.apk
2024-10-25 19:01
13K
libiio-doc-0.25-r2.apk
2024-10-25 19:01
18K
libiio-pyc-0.25-r2.apk
2024-10-25 19:01
21K
libiio-tools-0.25-r2.apk
2024-10-25 19:01
72K
libiml-1.0.5-r3.apk
2024-10-25 19:01
76K
libiml-dev-1.0.5-r3.apk
2024-10-25 19:01
3.9K
libiml-static-1.0.5-r3.apk
2024-10-25 19:01
78K
libiscsi-1.19.0-r2.apk
2024-10-25 19:01
60K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 19:01
20K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 19:01
9.3K
libiscsi-static-1.19.0-r2.apk
2024-10-25 19:01
71K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 19:01
86K
libjodycode-3.1.1-r0.apk
2024-10-25 19:01
7.3K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 19:01
4.2K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 19:01
3.7K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 19:01
160K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 19:01
20K
libmdbx-0.11.8-r0.apk
2024-10-25 19:01
714K
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 19:01
2.6M
libmdbx-dev-0.11.8-r0.apk
2024-10-25 19:01
93K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 19:01
8.9K
libmdf-1.0.29-r0.apk
2024-10-25 19:01
33K
libmdf-dev-1.0.29-r0.apk
2024-10-25 19:01
14K
libmhash-0.9.9.9-r3.apk
2024-10-25 19:01
94K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 19:01
105K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 19:01
8.2K
libmpfi-1.5.4-r2.apk
2024-10-25 19:01
34K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 19:01
5.4K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 19:01
19K
libmpfi-static-1.5.4-r2.apk
2024-10-25 19:01
51K
libmrss-0.19.2-r1.apk
2024-10-25 19:01
20K
libmrss-dev-0.19.2-r1.apk
2024-10-25 19:01
30K
libmustache-0.5.0-r1.apk
2024-10-25 19:01
81K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 19:01
82K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 19:01
12K
libmysofa-1.3.2-r0.apk
2024-10-25 19:01
25K
libmysofa-dev-1.3.2-r0.apk
2024-10-25 19:01
7.0K
libmysofa-tools-1.3.2-r0.apk
2024-10-25 19:01
1.1M
libneo4j-client-2.2.0-r3.apk
2024-10-25 19:01
70K
libneo4j-client-dev-2.2.0-r3.apk
2024-10-25 19:01
108K
libnfc-1.8.0-r1.apk
2024-10-25 19:01
55K
libnfc-dev-1.8.0-r1.apk
2024-10-25 19:01
7.9K
libnfc-doc-1.8.0-r1.apk
2024-10-25 19:01
22K
libnfc-tools-1.8.0-r1.apk
2024-10-25 19:01
61K
libnih-1.0.3-r7.apk
2024-10-25 19:01
113K
libnih-dev-1.0.3-r7.apk
2024-10-25 19:01
113K
libnih-doc-1.0.3-r7.apk
2024-10-25 19:01
2.7K
libntl-11.5.1-r4.apk
2024-10-25 19:01
1.1M
libntl-dev-11.5.1-r4.apk
2024-10-25 19:01
159K
libntl-doc-11.5.1-r4.apk
2024-10-25 19:01
374K
libntl-static-11.5.1-r4.apk
2024-10-25 19:01
1.6M
libnxml-0.18.3-r0.apk
2024-10-25 19:01
19K
libnxml-dev-0.18.3-r0.apk
2024-10-25 19:01
27K
libofx-0.10.9-r1.apk
2024-10-25 19:01
61K
libofx-dev-0.10.9-r1.apk
2024-10-25 19:01
20K
libofx-tools-0.10.9-r1.apk
2024-10-25 19:01
103K
liboggz-1.1.1-r2.apk
2024-10-25 19:01
96K
liboggz-dev-1.1.1-r2.apk
2024-10-25 19:01
176K
liboggz-doc-1.1.1-r2.apk
2024-10-25 19:01
134K
libopensles-standalone-0_git20240221-r0.apk
2024-10-25 19:01
37K
libopensles-standalone-dbg-0_git20240221-r0.apk
2024-10-25 19:01
243K
libopensles-standalone-dev-0_git20240221-r0.apk
2024-10-25 19:01
1.5K
libqd-2.3.24-r0.apk
2024-10-25 19:01
166K
libqd-dev-2.3.24-r0.apk
2024-10-25 19:01
58K
libqd-doc-2.3.24-r0.apk
2024-10-25 19:01
182K
libqd-static-2.3.24-r0.apk
2024-10-25 19:01
257K
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 19:01
45K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 19:01
272K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 19:01
436K
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 19:01
318K
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 19:01
1.5M
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 19:01
439K
libretro-blastem-0_git20210810-r0.apk
2024-10-25 19:01
241K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 19:01
639K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 19:01
242K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 19:01
300K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 19:01
277K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 19:01
584K
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 19:01
136K
libretro-dolphin-0_git20220407-r2.apk
2024-10-25 19:01
3.1M
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 19:01
12M
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 19:01
34K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 19:01
161K
libretro-fuse-0_git20220417-r0.apk
2024-10-25 19:01
854K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 19:01
706K
libretro-gme-0_git20240628-r0.apk
2024-10-25 19:01
185K
libretro-gong-0_git20220319-r0.apk
2024-10-25 19:01
9.0K
libretro-gw-0_git20220410-r0.apk
2024-10-25 19:01
187K
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 19:01
2.8M
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 19:01
6.8M
libretro-mu-0_git20220317-r0.apk
2024-10-25 19:01
176K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 19:01
453K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 19:01
288K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 19:01
516K
libretro-opera-0_git20211214-r0.apk
2024-10-25 19:01
179K
libretro-parallel-n64-0_git20220406-r0.apk
2024-10-25 19:01
806K
libretro-pcem-0_git20180812-r0.apk
2024-10-25 19:01
937K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 19:01
540K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 19:01
587K
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 19:01
84K
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 19:01
20M
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 19:01
792K
libretro-theodore-3.1-r0.apk
2024-10-25 19:01
873K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 19:01
387K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 19:01
118K
libretro-yabause-0_git20210411-r0.apk
2024-10-25 19:01
498K
libsbsms-2.3.0-r0.apk
2024-10-25 19:01
102K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 19:01
120K
libsds-2.0.0-r1.apk
2024-10-25 19:01
9.7K
libsds-dev-2.0.0-r1.apk
2024-10-25 19:01
3.8K
libsemanage-3.6-r1.apk
2024-10-25 19:01
93K
libsemanage-dev-3.6-r1.apk
2024-10-25 19:01
141K
libsemanage-doc-3.6-r1.apk
2024-10-25 19:01
23K
libserialport-0.1.1-r1.apk
2024-10-25 19:01
20K
libserialport-dev-0.1.1-r1.apk
2024-10-25 19:01
35K
libshadowsocks-libev-3.3.5-r4.apk
2024-10-25 19:01
48K
libsigrok-0.5.2-r3.apk
2024-10-25 19:01
473K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 19:01
31K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 19:01
335K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 19:01
39K
libsymmetrica-3.0.1-r2.apk
2024-10-25 19:01
4.1M
libsymmetrica-dev-3.0.1-r2.apk
2024-10-25 19:01
32K
libsymmetrica-static-3.0.1-r2.apk
2024-10-25 19:01
5.5M
libtcmu-1.6.0-r6.apk
2024-10-25 19:01
36K
libtcmu-dev-1.6.0-r6.apk
2024-10-25 19:01
1.5K
libtins-4.5-r1.apk
2024-10-25 19:01
332K
libtins-dev-4.5-r1.apk
2024-10-25 19:01
141K
libtins-doc-4.5-r1.apk
2024-10-25 19:01
2.3K
libucl-0.9.0-r0.apk
2024-10-25 19:01
56K
libucl-dev-0.9.0-r0.apk
2024-10-25 19:01
81K
libucl-doc-0.9.0-r0.apk
2024-10-25 19:01
8.9K
libuninameslist-20230916-r0.apk
2024-10-25 19:01
376K
libuninameslist-dev-20230916-r0.apk
2024-10-25 19:01
3.5K
libuninameslist-doc-20230916-r0.apk
2024-10-25 19:01
2.0K
libupstart-2.0.3-r5.apk
2024-10-25 19:01
59K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 19:01
57K
libvisio2svg-0.5.5-r3.apk
2024-10-25 19:01
15K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 19:01
2.9K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 19:01
116K
libvmaf-3.0.0-r0.apk
2024-10-25 19:01
362K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 19:01
215K
libvoikko-4.3.2-r1.apk
2024-10-25 19:01
121K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 19:01
9.9K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 19:01
5.7K
libwbxml-0.11.8-r0.apk
2024-10-25 19:01
76K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 19:01
9.0K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 19:01
28K
libwhich-1.2.0-r0.apk
2024-10-25 19:01
4.3K
libwmiclient-1.3.16-r5.apk
2024-10-25 19:01
1.5K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 19:01
1.7K
libzn_poly-0.9.2-r2.apk
2024-10-25 19:01
46K
libzn_poly-dev-0.9.2-r2.apk
2024-10-25 19:01
8.2K
libzn_poly-static-0.9.2-r2.apk
2024-10-25 19:01
49K
licenseheaders-0.8.8-r4.apk
2024-10-25 19:01
18K
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 19:01
18K
limkd-0.1.2-r0.apk
2024-10-25 19:01
89K
limkd-doc-0.1.2-r0.apk
2024-10-25 19:01
3.0K
limnoria-20240828-r0.apk
2024-10-25 19:01
1.1M
limnoria-doc-20240828-r0.apk
2024-10-25 19:01
8.4K
limnoria-pyc-20240828-r0.apk
2024-10-25 19:01
1.2M
linphone-5.3.38-r0.apk
2024-10-25 19:01
9.0M
linphone-dev-5.3.38-r0.apk
2024-10-25 19:01
250K
linphone-libs-5.3.38-r0.apk
2024-10-25 19:01
2.9M
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 19:01
197K
linux-timemachine-1.3.2-r0.apk
2024-10-25 19:01
5.1K
liquibase-4.9.1-r0.apk
2024-10-25 19:01
32M
liquibase-doc-4.9.1-r0.apk
2024-10-25 19:01
57K
liquid-dsp-1.5.0-r0.apk
2024-10-25 19:01
353K
liquid-dsp-dev-1.5.0-r0.apk
2024-10-25 19:01
526K
llmnrd-0.7-r1.apk
2024-10-25 19:01
16K
llmnrd-doc-0.7-r1.apk
2024-10-25 19:01
3.0K
llmnrd-openrc-0.7-r1.apk
2024-10-25 19:01
1.9K
lockrun-1.1.3-r1.apk
2024-10-25 19:01
5.4K
log4cpp-1.1.4-r1.apk
2024-10-25 19:01
71K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 19:01
39K
logc-czmq-0.1.0-r0.apk
2024-10-25 19:01
3.9K
logc-libevent-0.1.0-r0.apk
2024-10-25 19:01
3.3K
logc-libs-0.1.0-r0.apk
2024-10-25 19:01
1.5K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 19:01
5.5K
logwatch-7.10-r1.apk
2024-10-25 19:01
483K
logwatch-doc-7.10-r1.apk
2024-10-25 19:01
38K
lol-html-1.1.1-r1.apk
2024-10-25 19:01
432K
lol-html-dev-1.1.1-r1.apk
2024-10-25 19:01
6.5K
lolcat-1.4-r0.apk
2024-10-25 19:01
8.9K
lotide-0.15.0-r0.apk
2024-10-25 19:01
3.3M
lotide-openrc-0.15.0-r0.apk
2024-10-25 19:01
3.1K
lout-3.42.2-r0.apk
2024-10-25 19:01
1.4M
lout-doc-3.42.2-r0.apk
2024-10-25 19:01
453K
lowjs-1.6.2-r2.apk
2024-10-25 19:01
1.3M
lowjs-doc-1.6.2-r2.apk
2024-10-25 19:01
3.0K
lrcalc-2.1-r1.apk
2024-10-25 19:01
11K
lrcalc-dev-2.1-r1.apk
2024-10-25 19:01
11K
lrcalc-libs-2.1-r1.apk
2024-10-25 19:01
26K
lsdvd-0.17-r0.apk
2024-10-25 19:01
13K
lsdvd-doc-0.17-r0.apk
2024-10-25 19:01
2.5K
lsix-1.8.2-r0.apk
2024-10-25 19:01
6.5K
lsmash-2.14.5-r2.apk
2024-10-25 19:01
279K
lsmash-dev-2.14.5-r2.apk
2024-10-25 19:01
355K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 19:01
1.2K
lua-fn-0.1.0-r0.apk
2024-10-25 19:01
3.4K
lua-inet-0.2.0-r1.apk
2024-10-25 19:01
9.1K
lua-lanes-3.16.0-r1.apk
2024-10-25 19:01
1.5K
lua-lcurses-9.0.0-r0.apk
2024-10-25 19:01
1.1K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 19:01
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 19:01
19K
lua-linenoise-0.9-r1.apk
2024-10-25 19:01
1.2K
lua-luastatic-0.0.12-r1.apk
2024-10-25 19:01
1.5K
lua-lupa-1.0-r0.apk
2024-10-25 19:01
20K
lua-lut-1.2.1-r0.apk
2024-10-25 19:01
89K
lua-psl-0.3-r0.apk
2024-10-25 19:01
1.1K
lua-resty-redis-0.29-r0.apk
2024-10-25 19:01
5.3K
lua-resty-upload-0.11-r0.apk
2024-10-25 19:01
3.6K
lua-xml-1.1.3-r2.apk
2024-10-25 19:01
1.4K
lua5.1-lanes-3.16.0-r1.apk
2024-10-25 19:01
60K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 19:01
25K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 19:01
10K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 19:01
18K
lua5.1-luacov-0.15.0-r0.apk
2024-10-25 19:01
23K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 19:01
413K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 19:01
78K
lua5.1-psl-0.3-r0.apk
2024-10-25 19:01
6.4K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 19:01
23K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 19:01
4.5K
lua5.2-lanes-3.16.0-r1.apk
2024-10-25 19:01
60K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 19:01
10K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 19:01
18K
lua5.2-luacov-0.15.0-r0.apk
2024-10-25 19:01
23K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 19:01
413K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 19:01
8.9K
lua5.2-psl-0.3-r0.apk
2024-10-25 19:01
6.3K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 19:01
23K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 19:01
4.6K
lua5.3-lanes-3.16.0-r1.apk
2024-10-25 19:01
61K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 19:01
18K
lua5.3-luacov-0.15.0-r0.apk
2024-10-25 19:01
23K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 19:01
413K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 19:01
9.0K
lua5.3-psl-0.3-r0.apk
2024-10-25 19:01
6.3K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 19:01
4.6K
lua5.4-lanes-3.16.0-r1.apk
2024-10-25 19:01
60K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 19:01
18K
lua5.4-luacov-0.15.0-r0.apk
2024-10-25 19:01
23K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 19:01
9.0K
luacov-0.15.0-r0.apk
2024-10-25 19:01
1.4K
luacov-html-1.0.0-r1.apk
2024-10-25 19:01
1.2K
luapak-0.1.0_beta5-r0.apk
2024-10-25 19:01
36K
luksmeta-9-r0.apk
2024-10-25 19:01
13K
luksmeta-dev-9-r0.apk
2024-10-25 19:01
3.1K
luksmeta-doc-9-r0.apk
2024-10-25 19:01
5.5K
lumina-desktop-1.6.2-r0.apk
2024-10-25 19:01
1.2K
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 19:01
164K
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 19:01
8.9M
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 19:01
814K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 19:01
12K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 19:01
158K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 19:01
387K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 19:01
197K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 19:01
123K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 19:01
165K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 19:01
95K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 19:01
190K
lumins-0.4.0-r2.apk
2024-10-25 19:01
659K
lwan-0.1-r2.apk
2024-10-25 19:01
71K
lwan-dbg-0.1-r2.apk
2024-10-25 19:01
396K
lwan-dev-0.1-r2.apk
2024-10-25 19:01
10K
lwan-libs-0.1-r2.apk
2024-10-25 19:01
70K
lxappearance-0.6.3-r3.apk
2024-10-25 19:01
30K
lxappearance-dev-0.6.3-r3.apk
2024-10-25 19:01
3.2K
lxappearance-doc-0.6.3-r3.apk
2024-10-25 19:01
2.6K
lxappearance-lang-0.6.3-r3.apk
2024-10-25 19:01
81K
lzfse-1.0-r0.apk
2024-10-25 19:01
19K
lzfse-dev-1.0-r0.apk
2024-10-25 19:01
3.4K
m2r2-0.3.3-r3.apk
2024-10-25 19:01
13K
m2r2-pyc-0.3.3-r3.apk
2024-10-25 19:01
16K
ma1sd-2.5.0-r3.apk
2024-10-25 19:01
38M
ma1sd-openrc-2.5.0-r3.apk
2024-10-25 19:01
2.0K
mailctl-0.9.2-r0.apk
2024-10-25 19:01
6.8M
mailctl-bash-completion-0.9.2-r0.apk
2024-10-25 19:01
1.8K
mailctl-doc-0.9.2-r0.apk
2024-10-25 19:01
5.1K
mailctl-fish-completion-0.9.2-r0.apk
2024-10-25 19:01
1.9K
mailctl-zsh-completion-0.9.2-r0.apk
2024-10-25 19:01
2.0K
makeself-2.5.0-r0.apk
2024-10-25 19:01
13K
mame-0.251-r0.apk
2024-10-25 19:02
96M
mame-arcade-0.251-r0.apk
2024-10-25 19:02
68M
mame-common-0.251-r0.apk
2024-10-25 19:02
2.7K
mame-data-0.251-r0.apk
2024-10-25 19:02
19M
mame-doc-0.251-r0.apk
2024-10-25 19:02
24K
mame-lang-0.251-r0.apk
2024-10-25 19:02
1.4M
mame-mess-0.251-r0.apk
2024-10-25 19:02
52M
mame-plugins-0.251-r0.apk
2024-10-25 19:02
166K
mame-tools-0.251-r0.apk
2024-10-25 19:02
2.6M
mangr0ve-0.1.2-r0.apk
2024-10-25 19:02
2.8K
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 19:02
14K
marxan-4.0.7-r1.apk
2024-10-25 19:02
541K
materia-dark-compact-kde-kvantum-20220823-r0.apk
2024-10-25 19:02
1.5K
materia-dark-kde-konsole-20220823-r0.apk
2024-10-25 19:02
1.8K
materia-dark-kde-kvantum-20220823-r0.apk
2024-10-25 19:02
30K
materia-dark-kde-plasma-20220823-r0.apk
2024-10-25 19:02
503K
materia-dark-kde-yakuake-20220823-r0.apk
2024-10-25 19:02
22K
materia-kde-20220823-r0.apk
2024-10-25 19:02
19K
materia-kde-konsole-20220823-r0.apk
2024-10-25 19:02
1.8K
materia-kde-kvantum-20220823-r0.apk
2024-10-25 19:02
30K
materia-kde-plasma-20220823-r0.apk
2024-10-25 19:02
1.7M
materia-light-compact-kde-kvantum-20220823-r0.apk
2024-10-25 19:02
1.5K
materia-light-kde-kvantum-20220823-r0.apk
2024-10-25 19:02
30K
materia-light-kde-plasma-20220823-r0.apk
2024-10-25 19:02
20K
materia-light-kde-yakuake-20220823-r0.apk
2024-10-25 19:02
21K
mbpfan-2.4.0-r1.apk
2024-10-25 19:02
13K
mbpfan-doc-2.4.0-r1.apk
2024-10-25 19:02
5.3K
mbpfan-openrc-2.4.0-r1.apk
2024-10-25 19:02
1.6K
mbrola-3.3-r0.apk
2024-10-25 19:02
22K
mcjoin-2.11-r0.apk
2024-10-25 19:02
23K
mcjoin-doc-2.11-r0.apk
2024-10-25 19:02
54K
mcqd-1.0.0-r1.apk
2024-10-25 19:02
13K
mcqd-dev-1.0.0-r1.apk
2024-10-25 19:02
4.1K
md5ha1-0_git20171202-r1.apk
2024-10-25 19:02
8.8K
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 19:02
887K
mdnsd-0.12-r1.apk
2024-10-25 19:02
24K
mdnsd-doc-0.12-r1.apk
2024-10-25 19:02
14K
mdnsd-libs-0.12-r1.apk
2024-10-25 19:02
18K
mdnsd-openrc-0.12-r1.apk
2024-10-25 19:02
2.1K
mdnsd-static-0.12-r1.apk
2024-10-25 19:02
17K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 19:02
7.7K
memdump-1.01-r1.apk
2024-10-25 19:02
5.7K
memdump-doc-1.01-r1.apk
2024-10-25 19:02
3.1K
menumaker-0.99.14-r1.apk
2024-10-25 19:02
111K
mergerfs-2.40.2-r1.apk
2024-10-25 19:02
278K
mergerfs-doc-2.40.2-r1.apk
2024-10-25 19:02
42K
merlin-4.14-r0.apk
2024-10-25 19:02
14M
merlin-dev-4.14-r0.apk
2024-10-25 19:02
23M
merlin-emacs-4.14-r0.apk
2024-10-25 19:02
29K
merlin-vim-4.14-r0.apk
2024-10-25 19:02
28K
metalang99-1.13.3-r0.apk
2024-10-25 19:02
54K
milkytracker-1.04.00-r2.apk
2024-10-25 19:02
1.0M
milkytracker-doc-1.04.00-r2.apk
2024-10-25 19:02
50K
mimeo-2023-r2.apk
2024-10-25 19:02
28K
mimeo-pyc-2023-r2.apk
2024-10-25 19:02
42K
minidyndns-1.3.0-r3.apk
2024-10-25 19:02
12K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 19:02
5.1K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 19:02
1.8K
minimodem-0.24-r1.apk
2024-10-25 19:02
21K
minimodem-doc-0.24-r1.apk
2024-10-25 19:02
5.2K
minisatip-1.3.4-r0.apk
2024-10-25 19:02
311K
minisatip-openrc-1.3.4-r0.apk
2024-10-25 19:02
1.9K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 19:02
29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 19:02
1.8K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 19:02
791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 19:02
1.8K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 19:02
260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 19:02
1.8K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 19:02
538K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 19:02
4.7K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 19:02
249K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 19:02
1.8K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 19:02
651K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 19:02
1.8K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 19:02
644K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 19:02
1.8K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 19:02
11K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 19:02
1.8K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 19:02
248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 19:02
1.8K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 19:02
944K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 19:02
1.8K
mkg3a-0.5.0-r1.apk
2024-10-25 19:02
14K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 19:02
3.1K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 19:02
9.8K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 19:02
2.5K
mlxl-0.1-r0.apk
2024-10-25 19:02
6.0K
mm-1.4.2-r1.apk
2024-10-25 19:02
7.9K
mm-dev-1.4.2-r1.apk
2024-10-25 19:02
13K
mm-doc-1.4.2-r1.apk
2024-10-25 19:02
14K
mmix-0_git20221025-r0.apk
2024-10-25 19:02
157K
mml-1.0.0-r0.apk
2024-10-25 19:02
862K
mml-bash-completion-1.0.0-r0.apk
2024-10-25 19:02
2.3K
mml-doc-1.0.0-r0.apk
2024-10-25 19:02
3.9K
mml-fish-completion-1.0.0-r0.apk
2024-10-25 19:02
2.3K
mml-zsh-completion-1.0.0-r0.apk
2024-10-25 19:02
2.8K
mnamer-2.5.5-r1.apk
2024-10-25 19:02
32K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 19:02
60K
mnemosyne-2.10.1-r1.apk
2024-10-25 19:02
607K
mnemosyne-lang-2.10.1-r1.apk
2024-10-25 19:02
439K
mnemosyne-pyc-2.10.1-r1.apk
2024-10-25 19:02
628K
mobpass-0.2-r6.apk
2024-10-25 19:02
18K
mobpass-pyc-0.2-r6.apk
2024-10-25 19:02
5.2K
modem-manager-gui-0.0.20-r0.apk
2024-10-25 19:02
343K
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 19:02
3.9M
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 19:02
129K
moe-1.14-r0.apk
2024-10-25 19:02
104K
moe-doc-1.14-r0.apk
2024-10-25 19:02
19K
moka-icon-theme-5.4.0-r2.apk
2024-10-25 19:02
114M
monetdb-11.33.11-r4.apk
2024-10-25 19:02
2.4M
monetdb-dev-11.33.11-r4.apk
2024-10-25 19:02
77K
monetdb-doc-11.33.11-r4.apk
2024-10-25 19:02
321K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 19:02
177K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 19:02
89K
moon-buggy-1.0.51-r1.apk
2024-10-25 19:02
36K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 19:02
7.1K
mp3val-0.1.8-r1.apk
2024-10-25 19:02
13K
mpdcron-0.3-r1.apk
2024-10-25 19:02
99K
mpdcron-dev-0.3-r1.apk
2024-10-25 19:02
55K
mpdcron-doc-0.3-r1.apk
2024-10-25 19:02
13K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 19:02
2.9K
mpdris2-0.9.1-r3.apk
2024-10-25 19:02
15K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 19:02
15K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 19:02
2.3K
mrsh-0_git20210518-r1.apk
2024-10-25 19:02
5.7K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 19:02
205K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 19:02
10K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 19:02
59K
msgpuck-2.0-r1.apk
2024-10-25 19:02
1.2K
msgpuck-dev-2.0-r1.apk
2024-10-25 19:02
22K
msgpuck-doc-2.0-r1.apk
2024-10-25 19:02
7.3K
mspdebug-0.25-r1.apk
2024-10-25 19:02
202K
mspdebug-doc-0.25-r1.apk
2024-10-25 19:02
14K
msr-tools-1.3-r1.apk
2024-10-25 19:02
9.9K
mstflint-4.26.0.1-r0.apk
2024-10-25 19:02
4.1M
mstflint-doc-4.26.0.1-r0.apk
2024-10-25 19:02
18K
mxclient-0_git20211002-r1.apk
2024-10-25 19:02
76K
n30f-2.0-r3.apk
2024-10-25 19:02
6.9K
nano-hare-0_git20231021-r0.apk
2024-10-25 19:02
2.2K
nbsdgames-5-r0.apk
2024-10-25 19:02
101K
nbsdgames-doc-5-r0.apk
2024-10-25 19:02
9.4K
ndpi-4.10-r0.apk
2024-10-25 19:02
1.3M
ndpi-dev-4.10-r0.apk
2024-10-25 19:02
879K
neard-0.19-r0.apk
2024-10-25 19:02
133K
neard-dev-0.19-r0.apk
2024-10-25 19:02
11K
neard-doc-0.19-r0.apk
2024-10-25 19:02
5.6K
neard-openrc-0.19-r0.apk
2024-10-25 19:02
1.7K
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 19:02
23K
neo4j-client-2.2.0-r3.apk
2024-10-25 19:02
29K
neo4j-client-doc-2.2.0-r3.apk
2024-10-25 19:02
5.4K
netscanner-0.5.1-r1.apk
2024-10-25 19:02
3.5M
netscanner-doc-0.5.1-r1.apk
2024-10-25 19:02
3.3K
newlib-esp-0_git20240109-r0.apk
2024-10-25 19:02
1.5K
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk
2024-10-25 19:02
4.3M
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk
2024-10-25 19:02
4.3M
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk
2024-10-25 19:02
4.3M
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk
2024-10-25 19:02
4.5M
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk
2024-10-25 19:02
1.1M
newsyslog-1.2.0.91-r1.apk
2024-10-25 19:02
18K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 19:02
24K
nextpnr-0.7-r0.apk
2024-10-25 19:02
1.4K
nextpnr-ecp5-0.7-r0.apk
2024-10-25 19:02
25M
nextpnr-generic-0.7-r0.apk
2024-10-25 19:02
744K
nextpnr-gowin-0.7-r0.apk
2024-10-25 19:02
1.5M
nextpnr-ice40-0.7-r0.apk
2024-10-25 19:02
69M
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 19:02
713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 19:02
21K
ngs-0.2.14-r0.apk
2024-10-25 19:02
293K
ngs-aws-0.2.14-r0.apk
2024-10-25 19:02
33K
ngs-vim-0.2.14-r0.apk
2024-10-25 19:02
4.9K
nitro-2.7_beta8-r2.apk
2024-10-25 19:02
503K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 19:02
190K
nitrocli-0.4.1-r3.apk
2024-10-25 19:02
431K
nitrocli-bash-completion-0.4.1-r3.apk
2024-10-25 19:02
3.2K
nitrocli-doc-0.4.1-r3.apk
2024-10-25 19:02
8.8K
nkk-0_git20221010-r0.apk
2024-10-25 19:02
15K
nkk-dev-0_git20221010-r0.apk
2024-10-25 19:02
2.9K
nkk-doc-0_git20221010-r0.apk
2024-10-25 19:02
7.0K
noblenote-1.2.1-r1.apk
2024-10-25 19:02
400K
node-libpg-query-13.1.2-r5.apk
2024-10-25 19:02
18K
noggin-model-0.1-r0.apk
2024-10-25 19:02
12M
noggin-model-lightweight-0.1-r0.apk
2024-10-25 19:02
1.7M
noice-0.8-r1.apk
2024-10-25 19:02
9.6K
noice-doc-0.8-r1.apk
2024-10-25 19:02
3.4K
nsh-0.4.2-r1.apk
2024-10-25 19:02
613K
nsh-dbg-0.4.2-r1.apk
2024-10-25 19:02
3.4M
nsnake-3.0.0-r0.apk
2024-10-25 19:02
9.6K
nsnake-doc-3.0.0-r0.apk
2024-10-25 19:02
2.6K
nuklear-4.12.0-r0.apk
2024-10-25 19:02
220K
nuklear-doc-4.12.0-r0.apk
2024-10-25 19:02
42K
nullmailer-2.2-r4.apk
2024-10-25 19:02
122K
nullmailer-doc-2.2-r4.apk
2024-10-25 19:02
10K
nullmailer-openrc-2.2-r4.apk
2024-10-25 19:02
1.6K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 19:02
55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 19:02
7.8K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 19:02
4.2K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 19:02
3.3K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 19:02
1.8K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 19:02
10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 19:02
3.5K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 19:02
2.6K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 19:02
3.5K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 19:02
2.0K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 19:02
3.8K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 19:02
2.0K
nvim-gruvbox-0.0.0_git20221212-r1.apk
2024-10-25 19:02
10K
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
2024-10-25 19:02
2.8K
nvim-packer-0.0.0_git20220910-r1.apk
2024-10-25 19:02
46K
nvim-packer-doc-0.0.0_git20220910-r1.apk
2024-10-25 19:02
21K
nvimpager-0.12.0-r0.apk
2024-10-25 19:02
13K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 19:02
4.4K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 19:02
1.8K
objconv-2.52_git20210213-r2.apk
2024-10-25 19:02
251K
ocaml-alcotest-1.5.0-r4.apk
2024-10-25 19:02
464K
ocaml-alcotest-dev-1.5.0-r4.apk
2024-10-25 19:02
831K
ocaml-amqp-client-2.3.0-r0.apk
2024-10-25 19:02
605K
ocaml-amqp-client-dev-2.3.0-r0.apk
2024-10-25 19:02
1.0M
ocaml-angstrom-0.16.0-r0.apk
2024-10-25 19:02
179K
ocaml-angstrom-dev-0.16.0-r0.apk
2024-10-25 19:02
344K
ocaml-arp-3.0.0-r3.apk
2024-10-25 19:02
85K
ocaml-arp-dev-3.0.0-r3.apk
2024-10-25 19:02
160K
ocaml-asn1-combinators-0.2.6-r2.apk
2024-10-25 19:02
316K
ocaml-asn1-combinators-dev-0.2.6-r2.apk
2024-10-25 19:02
577K
ocaml-astring-0.8.5-r2.apk
2024-10-25 19:02
287K
ocaml-astring-dev-0.8.5-r2.apk
2024-10-25 19:02
185K
ocaml-atd-2.15.0-r0.apk
2024-10-25 19:02
6.8M
ocaml-atd-dev-2.15.0-r0.apk
2024-10-25 19:02
1.9M
ocaml-base-0.16.3-r0.apk
2024-10-25 19:02
4.6M
ocaml-base-dev-0.16.3-r0.apk
2024-10-25 19:02
9.7M
ocaml-base64-3.5.0-r2.apk
2024-10-25 19:02
91K
ocaml-base64-dev-3.5.0-r2.apk
2024-10-25 19:02
177K
ocaml-bigarray-compat-1.1.0-r2.apk
2024-10-25 19:02
12K
ocaml-bigarray-compat-dev-1.1.0-r2.apk
2024-10-25 19:02
12K
ocaml-bigstringaf-0.9.0-r2.apk
2024-10-25 19:02
47K
ocaml-bigstringaf-dev-0.9.0-r2.apk
2024-10-25 19:02
92K
ocaml-biniou-1.2.1-r5.apk
2024-10-25 19:02
570K
ocaml-biniou-dev-1.2.1-r5.apk
2024-10-25 19:02
368K
ocaml-bisect_ppx-2.8.3-r0.apk
2024-10-25 19:02
4.6M
ocaml-bisect_ppx-dev-2.8.3-r0.apk
2024-10-25 19:02
552K
ocaml-bitstring-4.1.0-r3.apk
2024-10-25 19:02
4.0M
ocaml-bitstring-dev-4.1.0-r3.apk
2024-10-25 19:02
573K
ocaml-bos-0.2.1-r2.apk
2024-10-25 19:02
463K
ocaml-bos-dev-0.2.1-r2.apk
2024-10-25 19:02
355K
ocaml-ca-certs-0.2.2-r2.apk
2024-10-25 19:02
29K
ocaml-ca-certs-dev-0.2.2-r2.apk
2024-10-25 19:02
41K
ocaml-ca-certs-nss-3.89.1-r1.apk
2024-10-25 19:02
395K
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
2024-10-25 19:02
448K
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
2024-10-25 19:02
1.5M
ocaml-cairo2-0.6.2-r2.apk
2024-10-25 19:02
164K
ocaml-cairo2-dev-0.6.2-r2.apk
2024-10-25 19:02
441K
ocaml-calendar-2.04-r4.apk
2024-10-25 19:02
217K
ocaml-calendar-dev-2.04-r4.apk
2024-10-25 19:02
147K
ocaml-calendar-doc-2.04-r4.apk
2024-10-25 19:02
12K
ocaml-camlzip-1.11-r2.apk
2024-10-25 19:02
114K
ocaml-camlzip-dev-1.11-r2.apk
2024-10-25 19:02
217K
ocaml-camomile-1.0.2-r3.apk
2024-10-25 19:02
1.3M
ocaml-camomile-data-1.0.2-r3.apk
2024-10-25 19:02
5.1M
ocaml-camomile-dev-1.0.2-r3.apk
2024-10-25 19:02
2.5M
ocaml-charinfo_width-1.1.0-r3.apk
2024-10-25 19:02
104K
ocaml-charinfo_width-dev-1.1.0-r3.apk
2024-10-25 19:02
190K
ocaml-cmdliner-1.1.1-r3.apk
2024-10-25 19:02
469K
ocaml-cmdliner-dev-1.1.1-r3.apk
2024-10-25 19:02
245K
ocaml-cmdliner-doc-1.1.1-r3.apk
2024-10-25 19:02
20K
ocaml-cohttp-5.3.1-r0.apk
2024-10-25 19:02
670K
ocaml-cohttp-dev-5.3.1-r0.apk
2024-10-25 19:02
1.3M
ocaml-cohttp-tools-5.3.1-r0.apk
2024-10-25 19:02
7.6M
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
2024-10-25 19:02
77K
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
2024-10-25 19:02
105K
ocaml-conduit-6.1.0-r0.apk
2024-10-25 19:02
289K
ocaml-conduit-dev-6.1.0-r0.apk
2024-10-25 19:02
546K
ocaml-containers-3.7-r2.apk
2024-10-25 19:02
3.5M
ocaml-containers-dev-3.7-r2.apk
2024-10-25 19:02
6.7M
ocaml-containers-top-3.7-r2.apk
2024-10-25 19:02
23K
ocaml-cstruct-6.1.0-r3.apk
2024-10-25 19:02
4.1M
ocaml-cstruct-dev-6.1.0-r3.apk
2024-10-25 19:02
705K
ocaml-ctypes-0.20.1-r2.apk
2024-10-25 19:02
878K
ocaml-ctypes-dev-0.20.1-r2.apk
2024-10-25 19:02
872K
ocaml-curses-1.0.10-r2.apk
2024-10-25 19:02
138K
ocaml-curses-dev-1.0.10-r2.apk
2024-10-25 19:02
310K
ocaml-dns-6.2.2-r3.apk
2024-10-25 19:02
2.2M
ocaml-dns-dev-6.2.2-r3.apk
2024-10-25 19:02
4.1M
ocaml-dns-tools-6.2.2-r3.apk
2024-10-25 19:02
11M
ocaml-domain-name-0.4.0-r2.apk
2024-10-25 19:02
74K
ocaml-domain-name-dev-0.4.0-r2.apk
2024-10-25 19:02
141K
ocaml-down-0.1.0-r3.apk
2024-10-25 19:02
605K
ocaml-down-dev-0.1.0-r3.apk
2024-10-25 19:02
276K
ocaml-duration-0.2.0-r2.apk
2024-10-25 19:02
26K
ocaml-duration-dev-0.2.0-r2.apk
2024-10-25 19:02
44K
ocaml-easy-format-1.3.4-r1.apk
2024-10-25 19:02
61K
ocaml-easy-format-dev-1.3.4-r1.apk
2024-10-25 19:02
113K
ocaml-eqaf-0.8-r2.apk
2024-10-25 19:02
69K
ocaml-eqaf-dev-0.8-r2.apk
2024-10-25 19:02
122K
ocaml-erm_xml-0_git20211229-r2.apk
2024-10-25 19:02
582K
ocaml-erm_xml-dev-0_git20211229-r2.apk
2024-10-25 19:02
791K
ocaml-erm_xmpp-0_git20220404-r2.apk
2024-10-25 19:02
1.3M
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
2024-10-25 19:02
1.6M
ocaml-ethernet-3.0.0-r3.apk
2024-10-25 19:02
44K
ocaml-ethernet-dev-3.0.0-r3.apk
2024-10-25 19:02
84K
ocaml-extlib-1.7.9-r2.apk
2024-10-25 19:02
638K
ocaml-extlib-dev-1.7.9-r2.apk
2024-10-25 19:02
1.3M
ocaml-extlib-doc-1.7.9-r2.apk
2024-10-25 19:02
11K
ocaml-ezxmlm-1.1.0-r0.apk
2024-10-25 19:02
32K
ocaml-ezxmlm-dev-1.1.0-r0.apk
2024-10-25 19:02
53K
ocaml-fileutils-0.6.4-r2.apk
2024-10-25 19:02
313K
ocaml-fileutils-dev-0.6.4-r2.apk
2024-10-25 19:02
606K
ocaml-fileutils-doc-0.6.4-r2.apk
2024-10-25 19:02
16K
ocaml-fix-20220121-r2.apk
2024-10-25 19:02
167K
ocaml-fix-dev-20220121-r2.apk
2024-10-25 19:02
434K
ocaml-fmt-0.9.0-r2.apk
2024-10-25 19:02
190K
ocaml-fmt-dev-0.9.0-r2.apk
2024-10-25 19:02
124K
ocaml-fpath-0.7.3-r2.apk
2024-10-25 19:02
138K
ocaml-fpath-dev-0.7.3-r2.apk
2024-10-25 19:02
101K
ocaml-gen-1.1-r1.apk
2024-10-25 19:02
323K
ocaml-gen-dev-1.1-r1.apk
2024-10-25 19:02
612K
ocaml-gettext-0.4.2-r3.apk
2024-10-25 19:02
3.4M
ocaml-gettext-dev-0.4.2-r3.apk
2024-10-25 19:02
735K
ocaml-gettext-doc-0.4.2-r3.apk
2024-10-25 19:02
19K
ocaml-gitlab-0.1.8-r0.apk
2024-10-25 19:02
2.9M
ocaml-gitlab-dev-0.1.8-r0.apk
2024-10-25 19:02
11M
ocaml-gmap-0.3.0-r2.apk
2024-10-25 19:02
37K
ocaml-gmap-dev-0.3.0-r2.apk
2024-10-25 19:02
75K
ocaml-happy-eyeballs-0.3.0-r2.apk
2024-10-25 19:02
84K
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
2024-10-25 19:02
148K
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
2024-10-25 19:02
43K
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
2024-10-25 19:02
60K
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
2024-10-25 19:02
2.4M
ocaml-hex-1.5.0-r2.apk
2024-10-25 19:02
30K
ocaml-hex-dev-1.5.0-r2.apk
2024-10-25 19:02
54K
ocaml-higlo-0.9-r0.apk
2024-10-25 19:02
4.9M
ocaml-higlo-dev-0.9-r0.apk
2024-10-25 19:02
679K
ocaml-hkdf-1.0.4-r2.apk
2024-10-25 19:02
14K
ocaml-hkdf-dev-1.0.4-r2.apk
2024-10-25 19:02
19K
ocaml-integers-0.7.0-r2.apk
2024-10-25 19:02
127K
ocaml-integers-dev-0.7.0-r2.apk
2024-10-25 19:02
273K
ocaml-ipaddr-5.3.1-r2.apk
2024-10-25 19:02
314K
ocaml-ipaddr-dev-5.3.1-r2.apk
2024-10-25 19:02
561K
ocaml-iri-1.0.0-r0.apk
2024-10-25 19:02
1.8M
ocaml-iri-dev-1.0.0-r0.apk
2024-10-25 19:02
774K
ocaml-iso8601-0.2.6-r0.apk
2024-10-25 19:02
53K
ocaml-iso8601-dev-0.2.6-r0.apk
2024-10-25 19:02
88K
ocaml-jsonm-1.0.2-r0.apk
2024-10-25 19:02
119K
ocaml-jsonm-dev-1.0.2-r0.apk
2024-10-25 19:02
68K
ocaml-jsonm-tools-1.0.2-r0.apk
2024-10-25 19:02
447K
ocaml-lablgtk3-3.1.2-r3.apk
2024-10-25 19:02
7.9M
ocaml-lablgtk3-dev-3.1.2-r3.apk
2024-10-25 19:02
14M
ocaml-lablgtk3-extras-3.0.1-r2.apk
2024-10-25 19:02
887K
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
2024-10-25 19:02
1.5M
ocaml-labltk-8.06.12-r2.apk
2024-10-25 19:02
2.9M
ocaml-labltk-dev-8.06.12-r2.apk
2024-10-25 19:02
1.4M
ocaml-lambda-term-3.2.0-r4.apk
2024-10-25 19:02
3.4M
ocaml-lambda-term-dev-3.2.0-r4.apk
2024-10-25 19:02
3.8M
ocaml-lambda-term-doc-3.2.0-r4.apk
2024-10-25 19:02
8.8K
ocaml-lambdasoup-0.7.3-r2.apk
2024-10-25 19:02
190K
ocaml-lambdasoup-dev-0.7.3-r2.apk
2024-10-25 19:02
347K
ocaml-libvirt-0.6.1.7-r0.apk
2024-10-25 19:02
175K
ocaml-libvirt-dev-0.6.1.7-r0.apk
2024-10-25 19:02
94K
ocaml-libvirt-doc-0.6.1.7-r0.apk
2024-10-25 19:02
14K
ocaml-logs-0.7.0-r3.apk
2024-10-25 19:02
118K
ocaml-logs-dev-0.7.0-r3.apk
2024-10-25 19:02
89K
ocaml-lru-0.3.0-r2.apk
2024-10-25 19:02
77K
ocaml-lru-dev-0.3.0-r2.apk
2024-10-25 19:02
164K
ocaml-lwd-0.3-r0.apk
2024-10-25 19:02
492K
ocaml-lwd-dev-0.3-r0.apk
2024-10-25 19:02
1.0M
ocaml-lwt-5.7.0-r0.apk
2024-10-25 19:02
1.2M
ocaml-lwt-dev-5.7.0-r0.apk
2024-10-25 19:02
3.2M
ocaml-lwt-dllist-1.0.1-r3.apk
2024-10-25 19:02
23K
ocaml-lwt-dllist-dev-1.0.1-r3.apk
2024-10-25 19:02
41K
ocaml-lwt_log-1.1.1-r5.apk
2024-10-25 19:02
134K
ocaml-lwt_log-dev-1.1.1-r5.apk
2024-10-25 19:02
258K
ocaml-lwt_ppx-5.7.0-r0.apk
2024-10-25 19:02
3.8M
ocaml-lwt_react-5.7.0-r0.apk
2024-10-25 19:02
121K
ocaml-lwt_ssl-1.2.0-r0.apk
2024-10-25 19:02
30K
ocaml-lwt_ssl-dev-1.2.0-r0.apk
2024-10-25 19:02
48K
ocaml-magic-mime-1.3.1-r0.apk
2024-10-25 19:02
203K
ocaml-magic-mime-dev-1.3.1-r0.apk
2024-10-25 19:02
307K
ocaml-markup-1.0.3-r3.apk
2024-10-25 19:02
1.0M
ocaml-markup-dev-1.0.3-r3.apk
2024-10-25 19:02
2.1M
ocaml-merlin-extend-0.6.1-r2.apk
2024-10-25 19:02
50K
ocaml-merlin-extend-dev-0.6.1-r2.apk
2024-10-25 19:02
92K
ocaml-metrics-0.4.0-r3.apk
2024-10-25 19:02
247K
ocaml-metrics-dev-0.4.0-r3.apk
2024-10-25 19:02
452K
ocaml-mew-0.1.0-r3.apk
2024-10-25 19:02
70K
ocaml-mew-dev-0.1.0-r3.apk
2024-10-25 19:02
98K
ocaml-mew_vi-0.5.0-r3.apk
2024-10-25 19:02
184K
ocaml-mew_vi-dev-0.5.0-r3.apk
2024-10-25 19:02
296K
ocaml-mikmatch-1.0.9-r2.apk
2024-10-25 19:02
145K
ocaml-mirage-clock-4.2.0-r2.apk
2024-10-25 19:02
29K
ocaml-mirage-clock-dev-4.2.0-r2.apk
2024-10-25 19:02
36K
ocaml-mirage-crypto-0.10.6-r3.apk
2024-10-25 19:02
1.1M
ocaml-mirage-crypto-dev-0.10.6-r3.apk
2024-10-25 19:02
3.2M
ocaml-mirage-flow-3.0.0-r3.apk
2024-10-25 19:02
111K
ocaml-mirage-flow-dev-3.0.0-r3.apk
2024-10-25 19:02
195K
ocaml-mirage-kv-4.0.1-r3.apk
2024-10-25 19:02
21K
ocaml-mirage-kv-dev-4.0.1-r3.apk
2024-10-25 19:02
44K
ocaml-mirage-net-4.0.0-r3.apk
2024-10-25 19:02
12K
ocaml-mirage-net-dev-4.0.0-r3.apk
2024-10-25 19:02
20K
ocaml-mirage-profile-0.9.1-r3.apk
2024-10-25 19:02
25K
ocaml-mirage-profile-dev-0.9.1-r3.apk
2024-10-25 19:02
32K
ocaml-mirage-random-3.0.0-r3.apk
2024-10-25 19:02
7.0K
ocaml-mirage-random-dev-3.0.0-r3.apk
2024-10-25 19:02
5.4K
ocaml-mirage-time-3.0.0-r4.apk
2024-10-25 19:02
12K
ocaml-mirage-time-dev-3.0.0-r4.apk
2024-10-25 19:02
8.5K
ocaml-mmap-1.2.0-r3.apk
2024-10-25 19:02
7.3K
ocaml-mmap-dev-1.2.0-r3.apk
2024-10-25 19:02
6.7K
ocaml-mqtt-0.2.2-r0.apk
2024-10-25 19:02
157K
ocaml-mqtt-dev-0.2.2-r0.apk
2024-10-25 19:02
258K
ocaml-mtime-1.4.0-r2.apk
2024-10-25 19:02
48K
ocaml-mtime-dev-1.4.0-r2.apk
2024-10-25 19:02
49K
ocaml-notty-0.2.3-r0.apk
2024-10-25 19:02
314K
ocaml-notty-dev-0.2.3-r0.apk
2024-10-25 19:02
612K
ocaml-num-1.4-r3.apk
2024-10-25 19:02
255K
ocaml-num-dev-1.4-r3.apk
2024-10-25 19:02
94K
ocaml-obuild-0.1.11-r0.apk
2024-10-25 19:02
1.1M
ocaml-ocf-0.8.0-r3.apk
2024-10-25 19:02
7.8M
ocaml-ocf-dev-0.8.0-r3.apk
2024-10-25 19:02
320K
ocaml-ocp-indent-1.8.2-r2.apk
2024-10-25 19:02
542K
ocaml-ocp-indent-dev-1.8.2-r2.apk
2024-10-25 19:02
638K
ocaml-ocp-index-1.3.6-r0.apk
2024-10-25 19:02
466K
ocaml-ocp-index-dev-1.3.6-r0.apk
2024-10-25 19:02
529K
ocaml-ocplib-endian-1.2-r3.apk
2024-10-25 19:02
155K
ocaml-ocplib-endian-dev-1.2-r3.apk
2024-10-25 19:02
259K
ocaml-omake-0.10.6-r0.apk
2024-10-25 19:02
1.6M
ocaml-omake-doc-0.10.6-r0.apk
2024-10-25 19:02
8.0K
ocaml-omod-0.0.3-r3.apk
2024-10-25 19:02
333K
ocaml-omod-bin-0.0.3-r3.apk
2024-10-25 19:02
2.7M
ocaml-omod-dev-0.0.3-r3.apk
2024-10-25 19:02
213K
ocaml-otoml-1.0.5-r0.apk
2024-10-25 19:02
442K
ocaml-otoml-dev-1.0.5-r0.apk
2024-10-25 19:02
780K
ocaml-otr-0.3.10-r2.apk
2024-10-25 19:02
281K
ocaml-otr-dev-0.3.10-r2.apk
2024-10-25 19:02
525K
ocaml-ounit-2.2.7-r3.apk
2024-10-25 19:02
538K
ocaml-ounit-dev-2.2.7-r3.apk
2024-10-25 19:02
1.1M
ocaml-parsexp-0.16.0-r0.apk
2024-10-25 19:02
389K
ocaml-parsexp-dev-0.16.0-r0.apk
2024-10-25 19:02
940K
ocaml-pbkdf-1.2.0-r2.apk
2024-10-25 19:02
18K
ocaml-pbkdf-dev-1.2.0-r2.apk
2024-10-25 19:02
26K
ocaml-pcre-7.5.0-r4.apk
2024-10-25 19:02
150K
ocaml-pcre-dev-7.5.0-r4.apk
2024-10-25 19:02
305K
ocaml-ppx_blob-0.8.0-r0.apk
2024-10-25 19:02
3.7M
ocaml-ppx_blob-dev-0.8.0-r0.apk
2024-10-25 19:02
19K
ocaml-ppx_derivers-1.2.1-r2.apk
2024-10-25 19:02
9.8K
ocaml-ppx_derivers-dev-1.2.1-r2.apk
2024-10-25 19:02
11K
ocaml-ppx_deriving-5.3.0-r0.apk
2024-10-25 19:02
4.9M
ocaml-ppx_deriving-dev-5.3.0-r0.apk
2024-10-25 19:02
1.3M
ocaml-ppx_sexp_conv-0.16.0-r0.apk
2024-10-25 19:02
569K
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
2024-10-25 19:02
1.1M
ocaml-ppxlib-0.32.0-r0.apk
2024-10-25 19:02
13M
ocaml-ppxlib-dev-0.32.0-r0.apk
2024-10-25 19:02
16M
ocaml-psq-0.2.0-r2.apk
2024-10-25 19:02
96K
ocaml-psq-dev-0.2.0-r2.apk
2024-10-25 19:02
173K
ocaml-ptime-1.0.0-r2.apk
2024-10-25 19:02
111K
ocaml-ptime-dev-1.0.0-r2.apk
2024-10-25 19:02
75K
ocaml-ptmap-2.0.5-r3.apk
2024-10-25 19:02
54K
ocaml-ptmap-dev-2.0.5-r3.apk
2024-10-25 19:02
95K
ocaml-qcheck-0.18.1-r3.apk
2024-10-25 19:02
670K
ocaml-qcheck-dev-0.18.1-r3.apk
2024-10-25 19:02
1.3M
ocaml-qtest-2.11.2-r3.apk
2024-10-25 19:02
331K
ocaml-qtest-dev-2.11.2-r3.apk
2024-10-25 19:02
3.6K
ocaml-randomconv-0.1.3-r2.apk
2024-10-25 19:02
14K
ocaml-randomconv-dev-0.1.3-r2.apk
2024-10-25 19:02
18K
ocaml-re-1.11.0-r1.apk
2024-10-25 19:02
526K
ocaml-re-dev-1.11.0-r1.apk
2024-10-25 19:02
1.0M
ocaml-react-1.2.2-r2.apk
2024-10-25 19:02
283K
ocaml-react-dev-1.2.2-r2.apk
2024-10-25 19:02
194K
ocaml-reason-3.8.2-r1.apk
2024-10-25 19:02
15M
ocaml-reason-dev-3.8.2-r1.apk
2024-10-25 19:02
29M
ocaml-result-1.5-r2.apk
2024-10-25 19:02
9.0K
ocaml-result-dev-1.5-r2.apk
2024-10-25 19:02
8.1K
ocaml-rresult-0.7.0-r2.apk
2024-10-25 19:02
39K
ocaml-rresult-dev-0.7.0-r2.apk
2024-10-25 19:02
36K
ocaml-sedlex-3.2-r0.apk
2024-10-25 19:02
4.1M
ocaml-sedlex-dev-3.2-r0.apk
2024-10-25 19:02
1.5M
ocaml-seq-0.3.1-r2.apk
2024-10-25 19:02
14K
ocaml-seq-dev-0.3.1-r2.apk
2024-10-25 19:02
20K
ocaml-sexplib-0.16.0-r0.apk
2024-10-25 19:02
486K
ocaml-sexplib-dev-0.16.0-r0.apk
2024-10-25 19:02
827K
ocaml-sexplib0-0.16.0-r0.apk
2024-10-25 19:02
172K
ocaml-sexplib0-dev-0.16.0-r0.apk
2024-10-25 19:02
338K
ocaml-sha-1.15.4-r0.apk
2024-10-25 19:02
62K
ocaml-sha-dev-1.15.4-r0.apk
2024-10-25 19:02
231K
ocaml-ssl-0.7.0-r0.apk
2024-10-25 19:02
95K
ocaml-ssl-dev-0.7.0-r0.apk
2024-10-25 19:02
209K
ocaml-stdlib-shims-0.3.0-r2.apk
2024-10-25 19:02
4.2K
ocaml-stk-0.1.0-r0.apk
2024-10-25 19:02
6.1M
ocaml-stk-dev-0.1.0-r0.apk
2024-10-25 19:02
9.9M
ocaml-stringext-1.6.0-r2.apk
2024-10-25 19:02
43K
ocaml-stringext-dev-1.6.0-r2.apk
2024-10-25 19:02
78K
ocaml-tcpip-7.1.2-r3.apk
2024-10-25 19:02
1.2M
ocaml-tcpip-dev-7.1.2-r3.apk
2024-10-25 19:02
2.4M
ocaml-tls-0.15.3-r4.apk
2024-10-25 19:02
1.2M
ocaml-tls-dev-0.15.3-r4.apk
2024-10-25 19:02
2.3M
ocaml-tophide-1.0.4-r2.apk
2024-10-25 19:02
5.7K
ocaml-topkg-1.0.5-r2.apk
2024-10-25 19:02
613K
ocaml-topkg-dev-1.0.5-r2.apk
2024-10-25 19:02
409K
ocaml-trie-1.0.0-r2.apk
2024-10-25 19:02
16K
ocaml-trie-dev-1.0.0-r2.apk
2024-10-25 19:02
26K
ocaml-tsdl-1.0.0-r0.apk
2024-10-25 19:02
909K
ocaml-tsdl-dev-1.0.0-r0.apk
2024-10-25 19:02
552K
ocaml-tsdl-image-0.6-r0.apk
2024-10-25 19:02
49K
ocaml-tsdl-image-dev-0.6-r0.apk
2024-10-25 19:02
77K
ocaml-tsdl-ttf-0.6-r0.apk
2024-10-25 19:02
63K
ocaml-tsdl-ttf-dev-0.6-r0.apk
2024-10-25 19:02
108K
ocaml-uri-4.2.0-r2.apk
2024-10-25 19:02
1.4M
ocaml-uri-dev-4.2.0-r2.apk
2024-10-25 19:02
4.3M
ocaml-utop-2.9.1-r4.apk
2024-10-25 19:02
349K
ocaml-utop-dev-2.9.1-r4.apk
2024-10-25 19:02
763K
ocaml-uucd-14.0.0-r2.apk
2024-10-25 19:02
269K
ocaml-uucd-dev-14.0.0-r2.apk
2024-10-25 19:02
160K
ocaml-uucp-14.0.0-r2.apk
2024-10-25 19:02
5.1M
ocaml-uucp-dev-14.0.0-r2.apk
2024-10-25 19:02
1.1M
ocaml-uuidm-0.9.8-r2.apk
2024-10-25 19:02
45K
ocaml-uuidm-dev-0.9.8-r2.apk
2024-10-25 19:02
25K
ocaml-uuidm-tools-0.9.8-r2.apk
2024-10-25 19:02
448K
ocaml-uunf-14.0.0-r2.apk
2024-10-25 19:02
1.1M
ocaml-uunf-dev-14.0.0-r2.apk
2024-10-25 19:02
194K
ocaml-uuseg-14.0.0-r2.apk
2024-10-25 19:02
93K
ocaml-uuseg-dev-14.0.0-r2.apk
2024-10-25 19:02
52K
ocaml-uuseg-tools-14.0.0-r2.apk
2024-10-25 19:02
1.3M
ocaml-uutf-1.0.3-r2.apk
2024-10-25 19:02
627K
ocaml-uutf-dev-1.0.3-r2.apk
2024-10-25 19:02
56K
ocaml-x509-0.16.0-r2.apk
2024-10-25 19:02
829K
ocaml-x509-dev-0.16.0-r2.apk
2024-10-25 19:02
1.5M
ocaml-xml-light-2.5-r0.apk
2024-10-25 19:02
217K
ocaml-xml-light-dev-2.5-r0.apk
2024-10-25 19:02
387K
ocaml-xmlm-1.4.0-r2.apk
2024-10-25 19:02
563K
ocaml-xmlm-dev-1.4.0-r2.apk
2024-10-25 19:02
99K
ocaml-xtmpl-0.19.0-r0.apk
2024-10-25 19:02
8.8M
ocaml-xtmpl-dev-0.19.0-r0.apk
2024-10-25 19:02
774K
ocaml-yojson-2.1.2-r0.apk
2024-10-25 19:02
1.0M
ocaml-yojson-dev-2.1.2-r0.apk
2024-10-25 19:02
1.7M
ocaml-zed-3.1.0-r3.apk
2024-10-25 19:02
518K
ocaml-zed-dev-3.1.0-r3.apk
2024-10-25 19:02
1.1M
ocamlnet-4.1.9-r2.apk
2024-10-25 19:02
16M
ocamlnet-dev-4.1.9-r2.apk
2024-10-25 19:02
5.7M
ocamlnet-tcl-4.1.9-r2.apk
2024-10-25 19:02
51K
ocfs2-tools-1.8.7-r4.apk
2024-10-25 19:02
1.1M
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 19:02
45K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 19:02
69K
ocp-indent-1.8.2-r2.apk
2024-10-25 19:02
1.1M
ocp-indent-doc-1.8.2-r2.apk
2024-10-25 19:02
17K
ocp-indent-emacs-1.8.2-r2.apk
2024-10-25 19:02
3.7K
ocp-indent-vim-1.8.2-r2.apk
2024-10-25 19:02
2.4K
ocp-index-1.3.6-r0.apk
2024-10-25 19:02
5.5M
ocp-index-doc-1.3.6-r0.apk
2024-10-25 19:02
31K
ocp-index-emacs-1.3.6-r0.apk
2024-10-25 19:02
6.3K
ocp-index-vim-1.3.6-r0.apk
2024-10-25 19:02
3.1K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 19:02
4.7K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 19:02
3.3K
octoprint-firmwarecheck-2021.10.11-r2.apk
2024-10-25 19:02
29K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
2024-10-25 19:02
18K
octoprint-pisupport-2023.10.10-r1.apk
2024-10-25 19:02
31K
octoprint-pisupport-pyc-2023.10.10-r1.apk
2024-10-25 19:02
13K
odyssey-1.3-r3.apk
2024-10-25 19:02
125K
odyssey-openrc-1.3-r3.apk
2024-10-25 19:02
2.0K
oil-0.21.0-r0.apk
2024-10-25 19:02
1.5M
oil-doc-0.21.0-r0.apk
2024-10-25 19:02
7.0K
olab-0.1.8-r0.apk
2024-10-25 19:02
3.4M
olsrd-0.9.8-r3.apk
2024-10-25 19:02
166K
olsrd-doc-0.9.8-r3.apk
2024-10-25 19:02
25K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 19:02
1.9K
olsrd-plugins-0.9.8-r3.apk
2024-10-25 19:02
173K
one-dnn-3.1-r0.apk
2024-10-25 19:02
16M
one-dnn-dev-3.1-r0.apk
2024-10-25 19:02
114K
one-dnn-doc-3.1-r0.apk
2024-10-25 19:02
16K
onioncat-4.11.0-r1.apk
2024-10-25 19:02
57K
onioncat-doc-4.11.0-r1.apk
2024-10-25 19:02
19K
openapi-tui-0.9.4-r1.apk
2024-10-25 19:02
4.1M
openapi-validator-1.19.2-r0.apk
2024-10-25 19:02
9.9M
openfpgaloader-0.11.0-r0.apk
2024-10-25 19:02
1.9M
openjfx-21.0.3_p1-r0.apk
2024-10-25 19:02
8.9M
openjfx-demos-21.0.3_p1-r0.apk
2024-10-25 19:02
28M
openjfx-doc-21.0.3_p1-r0.apk
2024-10-25 19:02
97K
openocd-git-0_git20240113-r1.apk
2024-10-25 19:02
1.6M
openocd-git-cmd-openocd-0_git20240113-r1.apk
2024-10-25 19:02
1.5K
openocd-git-dbg-0_git20240113-r1.apk
2024-10-25 19:02
4.1M
openocd-git-dev-0_git20240113-r1.apk
2024-10-25 19:02
3.4K
openocd-git-doc-0_git20240113-r1.apk
2024-10-25 19:02
3.3K
openocd-git-udev-rules-0_git20240113-r1.apk
2024-10-25 19:02
3.4K
openocd-riscv-0_git20230104-r2.apk
2024-10-25 19:02
1.5M
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 19:02
3.7K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 19:02
3.3K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 19:02
3.3K
openscap-daemon-0.1.10-r9.apk
2024-10-25 19:02
60K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 19:02
18K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 19:02
102K
openslide-3.4.1-r3.apk
2024-10-25 19:02
80K
openslide-dev-3.4.1-r3.apk
2024-10-25 19:02
6.9K
openslide-doc-3.4.1-r3.apk
2024-10-25 19:02
4.9K
openslide-tools-3.4.1-r3.apk
2024-10-25 19:02
9.1K
opensm-3.3.24-r2.apk
2024-10-25 19:02
467K
opensm-dev-3.3.24-r2.apk
2024-10-25 19:02
219K
opensm-doc-3.3.24-r2.apk
2024-10-25 19:02
38K
opensm-openrc-3.3.24-r2.apk
2024-10-25 19:02
2.8K
openspades-0.1.3-r5.apk
2024-10-25 19:02
11M
openspades-doc-0.1.3-r5.apk
2024-10-25 19:02
20K
openswitcher-0.5.0-r4.apk
2024-10-25 19:02
148K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 19:02
9.4K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 19:02
2.0K
opkg-0.7.0-r0.apk
2024-10-25 19:02
9.8K
opkg-dev-0.7.0-r0.apk
2024-10-25 19:02
109K
opkg-doc-0.7.0-r0.apk
2024-10-25 19:02
7.7K
opkg-libs-0.7.0-r0.apk
2024-10-25 19:02
77K
opkg-utils-0.7.0-r0.apk
2024-10-25 19:02
25K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 19:02
3.6K
opmsg-1.84-r1.apk
2024-10-25 19:02
272K
osmctools-0.9-r0.apk
2024-10-25 19:02
119K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 19:02
102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 19:02
48K
otrs-6.0.48-r2.apk
2024-10-25 19:02
29M
otrs-apache2-6.0.48-r2.apk
2024-10-25 19:02
4.0K
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 19:02
2.4K
otrs-dev-6.0.48-r2.apk
2024-10-25 19:02
3.9M
otrs-doc-6.0.48-r2.apk
2024-10-25 19:02
795K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 19:02
1.8K
otrs-nginx-6.0.48-r2.apk
2024-10-25 19:02
1.8K
otrs-openrc-6.0.48-r2.apk
2024-10-25 19:02
1.9K
otrs-setup-6.0.48-r2.apk
2024-10-25 19:02
107K
ovn-24.03.1-r0.apk
2024-10-25 19:02
6.9M
ovn-dbg-24.03.1-r0.apk
2024-10-25 19:02
26M
ovn-dev-24.03.1-r0.apk
2024-10-25 19:02
11M
ovn-doc-24.03.1-r0.apk
2024-10-25 19:02
512K
ovn-openrc-24.03.1-r0.apk
2024-10-25 19:02
4.2K
ovos-0.0.1-r1.apk
2024-10-25 19:02
1.5K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 19:02
46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 19:02
4.0K
ovpncc-0.1_rc1-r0.apk
2024-10-25 19:02
12K
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 19:02
6.5K
oxygen-icons-6.1.0-r0.apk
2024-10-25 19:02
32M
p0f-3.09b-r3.apk
2024-10-25 19:02
75K
p0f-doc-3.09b-r3.apk
2024-10-25 19:02
25K
p910nd-0.97-r2.apk
2024-10-25 19:02
7.7K
p910nd-doc-0.97-r2.apk
2024-10-25 19:02
3.0K
p910nd-openrc-0.97-r2.apk
2024-10-25 19:02
1.8K
pacparser-1.4.5-r1.apk
2024-10-25 19:02
748K
pacparser-dev-1.4.5-r1.apk
2024-10-25 19:02
3.6K
pacparser-doc-1.4.5-r1.apk
2024-10-25 19:02
18K
pam-krb5-4.11-r1.apk
2024-10-25 19:02
22K
pam-krb5-doc-4.11-r1.apk
2024-10-25 19:02
24K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 19:02
8.5K
pamtester-0.1.2-r4.apk
2024-10-25 19:02
9.2K
pamtester-doc-0.1.2-r4.apk
2024-10-25 19:02
2.9K
pantalaimon-0.10.5-r4.apk
2024-10-25 19:02
45K
pantalaimon-doc-0.10.5-r4.apk
2024-10-25 19:02
6.4K
pantalaimon-pyc-0.10.5-r4.apk
2024-10-25 19:02
83K
pantalaimon-ui-0.10.5-r4.apk
2024-10-25 19:02
1.7K
paperkey-1.6-r2.apk
2024-10-25 19:02
16K
paperkey-doc-1.6-r2.apk
2024-10-25 19:02
4.5K
par-1.53.0-r1.apk
2024-10-25 19:02
14K
par-doc-1.53.0-r1.apk
2024-10-25 19:02
30K
paraexec-1.0-r3.apk
2024-10-25 19:02
13M
parcellite-1.2.5-r0.apk
2024-10-25 19:02
228K
parcellite-doc-1.2.5-r0.apk
2024-10-25 19:02
25K
parcellite-lang-1.2.5-r0.apk
2024-10-25 19:02
49K
pash-2.3.0-r2.apk
2024-10-25 19:02
4.3K
pasystray-0.8.2-r0.apk
2024-10-25 19:02
46K
pasystray-doc-0.8.2-r0.apk
2024-10-25 19:02
3.3K
pcem-17-r2.apk
2024-10-25 19:02
2.0M
pdf2svg-0.2.3-r1.apk
2024-10-25 19:02
4.8K
pdfcrack-0.20-r0.apk
2024-10-25 19:02
24K
peervpn-0.044-r5.apk
2024-10-25 19:02
40K
peervpn-openrc-0.044-r5.apk
2024-10-25 19:02
1.8K
peg-0.1.18-r1.apk
2024-10-25 19:02
38K
peg-doc-0.1.18-r1.apk
2024-10-25 19:02
14K
pegasus-frontend-16_alpha-r0.apk
2024-10-25 19:02
1.2M
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 19:02
16K
percona-toolkit-3.5.4-r1.apk
2024-10-25 19:02
1.8M
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 19:02
298K
perl-adapter-async-0.019-r0.apk
2024-10-25 19:02
8.1K
perl-adapter-async-doc-0.019-r0.apk
2024-10-25 19:02
17K
perl-algorithm-backoff-0.010-r0.apk
2024-10-25 19:02
9.6K
perl-algorithm-backoff-doc-0.010-r0.apk
2024-10-25 19:02
29K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 19:02
5.7K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 19:02
5.1K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 19:02
6.1K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 19:02
4.6K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 19:02
79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 19:02
148K
perl-aliased-0.34-r4.apk
2024-10-25 19:02
5.7K
perl-aliased-doc-0.34-r4.apk
2024-10-25 19:02
5.7K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 19:02
5.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 19:02
4.0K
perl-anyevent-future-0.05-r0.apk
2024-10-25 19:02
5.2K
perl-anyevent-future-doc-0.05-r0.apk
2024-10-25 19:02
5.4K
perl-anyevent-riperedis-0.48-r0.apk
2024-10-25 19:02
12K
perl-anyevent-riperedis-doc-0.48-r0.apk
2024-10-25 19:02
10K
perl-archive-extract-0.88-r1.apk
2024-10-25 19:02
16K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 19:02
6.8K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 19:02
3.9K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 19:02
3.6K
perl-bytes-random-secure-0.29-r0.apk
2024-10-25 19:02
14K
perl-bytes-random-secure-doc-0.29-r0.apk
2024-10-25 19:02
12K
perl-cache-lru-0.04-r0.apk
2024-10-25 19:02
3.0K
perl-cache-lru-doc-0.04-r0.apk
2024-10-25 19:02
3.2K
perl-cgi-expand-2.05-r4.apk
2024-10-25 19:02
6.9K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 19:02
6.2K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 19:02
12K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 19:02
7.5K
perl-class-c3-0.35-r1.apk
2024-10-25 19:02
9.5K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 19:02
5.5K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 19:02
5.3K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 19:02
9.3K
perl-class-inner-0.200001-r5.apk
2024-10-25 19:02
4.0K
perl-class-inner-doc-0.200001-r5.apk
2024-10-25 19:02
4.1K
perl-color-ansi-util-0.165-r0.apk
2024-10-25 19:02
7.3K
perl-color-ansi-util-doc-0.165-r0.apk
2024-10-25 19:02
5.2K
perl-constant-defer-6-r5.apk
2024-10-25 19:02
7.4K
perl-constant-defer-doc-6-r5.apk
2024-10-25 19:02
7.0K
perl-constant-generate-0.17-r5.apk
2024-10-25 19:02
8.8K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 19:02
7.0K
perl-context-preserve-0.03-r4.apk
2024-10-25 19:02
3.9K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 19:02
4.2K
perl-crypt-random-seed-0.03-r0.apk
2024-10-25 19:02
11K
perl-crypt-random-seed-doc-0.03-r0.apk
2024-10-25 19:02
8.8K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 19:02
7.0K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 19:02
6.4K
perl-css-object-0.2.0-r0.apk
2024-10-25 19:02
23K
perl-css-object-doc-0.2.0-r0.apk
2024-10-25 19:02
33K
perl-daemon-control-0.001010-r2.apk
2024-10-25 19:02
12K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 19:02
8.3K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 19:02
15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 19:02
15K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 19:02
4.9K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 19:02
5.4K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 19:02
9.7K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 19:02
8.5K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 19:02
5.5K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 19:02
4.2K
perl-data-validate-domain-0.15-r0.apk
2024-10-25 19:02
5.8K
perl-data-validate-domain-doc-0.15-r0.apk
2024-10-25 19:02
5.5K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 19:02
8.8K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 19:02
5.9K
perl-database-async-0.019-r0.apk
2024-10-25 19:02
23K
perl-database-async-doc-0.019-r0.apk
2024-10-25 19:02
29K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 19:02
14K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 19:02
9.3K
perl-datetime-timezone-alias-0.06-r0.apk
2024-10-25 19:02
2.5K
perl-datetime-timezone-alias-doc-0.06-r0.apk
2024-10-25 19:02
7.6K
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
2024-10-25 19:02
12K
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
2024-10-25 19:02
15K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 19:02
5.9K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 19:02
5.3K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 19:02
4.3K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 19:02
7.5K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 19:02
8.1K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 19:02
8.5K
perl-devel-confess-0.009004-r0.apk
2024-10-25 19:02
11K
perl-devel-confess-doc-0.009004-r0.apk
2024-10-25 19:02
6.7K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 19:02
5.6K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 19:02
5.2K
perl-email-abstract-3.010-r0.apk
2024-10-25 19:02
7.7K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 19:02
13K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 19:02
4.0K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 19:02
3.8K
perl-email-reply-1.204-r5.apk
2024-10-25 19:02
6.1K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 19:02
4.8K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 19:02
43K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 19:02
21K
perl-feed-find-0.13-r0.apk
2024-10-25 19:02
3.9K
perl-feed-find-doc-0.13-r0.apk
2024-10-25 19:02
3.7K
perl-ffi-c-0.15-r0.apk
2024-10-25 19:02
20K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 19:02
29K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 19:02
5.2K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 19:02
5.2K
perl-file-rename-2.02-r0.apk
2024-10-25 19:02
7.5K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 19:02
12K
perl-freezethaw-0.5001-r2.apk
2024-10-25 19:02
9.8K
perl-freezethaw-doc-0.5001-r2.apk
2024-10-25 19:02
5.7K
perl-full-1.004-r0.apk
2024-10-25 19:02
7.1K
perl-full-doc-1.004-r0.apk
2024-10-25 19:02
10K
perl-future-http-0.17-r0.apk
2024-10-25 19:02
9.2K
perl-future-http-doc-0.17-r0.apk
2024-10-25 19:02
16K
perl-future-q-0.120-r0.apk
2024-10-25 19:02
9.6K
perl-future-q-doc-0.120-r0.apk
2024-10-25 19:02
9.1K
perl-future-queue-0.52-r0.apk
2024-10-25 19:02
4.1K
perl-future-queue-doc-0.52-r0.apk
2024-10-25 19:02
4.3K
perl-gearman-2.004.015-r3.apk
2024-10-25 19:02
27K
perl-gearman-doc-2.004.015-r3.apk
2024-10-25 19:02
20K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 19:02
23K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 19:02
17K
perl-git-repository-1.325-r0.apk
2024-10-25 19:02
16K
perl-git-repository-doc-1.325-r0.apk
2024-10-25 19:02
32K
perl-git-version-compare-1.005-r0.apk
2024-10-25 19:02
5.4K
perl-git-version-compare-doc-1.005-r0.apk
2024-10-25 19:02
4.9K
perl-glib-ex-objectbits-17-r0.apk
2024-10-25 19:02
15K
perl-glib-ex-objectbits-doc-17-r0.apk
2024-10-25 19:02
22K
perl-graphql-client-0.605-r0.apk
2024-10-25 19:02
7.2K
perl-graphql-client-cli-0.605-r0.apk
2024-10-25 19:02
7.8K
perl-graphql-client-doc-0.605-r0.apk
2024-10-25 19:02
14K
perl-gtk2-ex-listmodelconcat-11-r4.apk
2024-10-25 19:02
13K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
2024-10-25 19:02
7.3K
perl-gtk2-ex-widgetbits-48-r3.apk
2024-10-25 19:02
66K
perl-gtk2-ex-widgetbits-doc-48-r3.apk
2024-10-25 19:02
81K
perl-gtk3-0.038-r1.apk
2024-10-25 19:02
20K
perl-gtk3-doc-0.038-r1.apk
2024-10-25 19:02
9.2K
perl-hash-ordered-0.014-r0.apk
2024-10-25 19:02
9.8K
perl-hash-ordered-doc-0.014-r0.apk
2024-10-25 19:02
19K
perl-html-object-0.5.1-r0.apk
2024-10-25 19:02
348K
perl-html-object-doc-0.5.1-r0.apk
2024-10-25 19:02
472K
perl-html-selector-xpath-0.28-r0.apk
2024-10-25 19:02
6.2K
perl-html-selector-xpath-doc-0.28-r0.apk
2024-10-25 19:02
4.1K
perl-html-tableextract-2.15-r4.apk
2024-10-25 19:02
18K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 19:02
9.9K
perl-http-thin-0.006-r0.apk
2024-10-25 19:02
3.1K
perl-http-thin-doc-0.006-r0.apk
2024-10-25 19:02
3.4K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 19:02
4.2K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 19:02
4.1K
perl-io-lambda-1.34-r0.apk
2024-10-25 19:02
75K
perl-io-lambda-doc-1.34-r0.apk
2024-10-25 19:02
68K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 19:02
5.8K
perl-json-maybeutf8-2.000-r0.apk
2024-10-25 19:02
3.1K
perl-json-maybeutf8-doc-2.000-r0.apk
2024-10-25 19:02
3.6K
perl-lib-abs-0.95-r0.apk
2024-10-25 19:02
3.9K
perl-lib-abs-doc-0.95-r0.apk
2024-10-25 19:02
4.0K
perl-list-binarysearch-0.25-r0.apk
2024-10-25 19:02
10K
perl-list-binarysearch-doc-0.25-r0.apk
2024-10-25 19:02
12K
perl-log-fu-0.31-r4.apk
2024-10-25 19:02
11K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 19:02
7.3K
perl-log-message-0.08-r3.apk
2024-10-25 19:02
11K
perl-log-message-doc-0.08-r3.apk
2024-10-25 19:02
12K
perl-log-message-simple-0.10-r3.apk
2024-10-25 19:02
4.2K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 19:02
4.0K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 19:02
6.4K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 19:02
5.7K
perl-mastodon-client-0.017-r0.apk
2024-10-25 19:02
22K
perl-mastodon-client-doc-0.017-r0.apk
2024-10-25 19:02
33K
perl-minion-backend-redis-0.003-r0.apk
2024-10-25 19:02
11K
perl-minion-backend-redis-doc-0.003-r0.apk
2024-10-25 19:02
6.6K
perl-minion-backend-sqlite-5.0.7-r0.apk
2024-10-25 19:02
10K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
2024-10-25 19:02
6.8K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
2024-10-25 19:02
3.4K
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
2024-10-25 19:02
3.8K
perl-mojo-reactor-ioasync-1.002-r0.apk
2024-10-25 19:02
4.7K
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
2024-10-25 19:02
4.4K
perl-mojo-redis-3.29-r0.apk
2024-10-25 19:02
25K
perl-mojo-redis-doc-3.29-r0.apk
2024-10-25 19:02
25K
perl-mojo-sqlite-3.009-r0.apk
2024-10-25 19:02
16K
perl-mojo-sqlite-doc-3.009-r0.apk
2024-10-25 19:02
19K
perl-net-address-ip-local-0.1.2-r0.apk
2024-10-25 19:02
3.4K
perl-net-address-ip-local-doc-0.1.2-r0.apk
2024-10-25 19:02
3.5K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 19:02
8.9K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 19:02
2.7K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 19:02
12K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 19:02
3.0K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 19:02
3.1K
perl-net-irr-0.10-r0.apk
2024-10-25 19:02
5.5K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 19:02
5.2K
perl-net-jabber-2.0-r0.apk
2024-10-25 19:02
51K
perl-net-jabber-bot-2.1.7-r0.apk
2024-10-25 19:02
13K
perl-net-jabber-bot-doc-2.1.7-r0.apk
2024-10-25 19:02
7.6K
perl-net-jabber-doc-2.0-r0.apk
2024-10-25 19:02
48K
perl-net-xmpp-1.05-r0.apk
2024-10-25 19:02
58K
perl-net-xmpp-doc-1.05-r0.apk
2024-10-25 19:02
44K
perl-netaddr-mac-0.98-r1.apk
2024-10-25 19:02
11K
perl-netaddr-mac-doc-0.98-r1.apk
2024-10-25 19:02
8.0K
perl-number-format-1.76-r1.apk
2024-10-25 19:02
15K
perl-number-format-doc-1.76-r1.apk
2024-10-25 19:02
9.0K
perl-number-misc-1.2-r5.apk
2024-10-25 19:02
5.2K
perl-number-misc-doc-1.2-r5.apk
2024-10-25 19:02
4.4K
perl-number-tolerant-1.710-r0.apk
2024-10-25 19:02
15K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 19:02
26K
perl-object-array-0.060-r0.apk
2024-10-25 19:02
5.7K
perl-object-array-doc-0.060-r0.apk
2024-10-25 19:02
7.0K
perl-openapi-client-1.07-r0.apk
2024-10-25 19:02
8.6K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 19:02
7.4K
perl-opentracing-1.006-r0.apk
2024-10-25 19:02
18K
perl-opentracing-doc-1.006-r0.apk
2024-10-25 19:02
33K
perl-path-iter-0.2-r3.apk
2024-10-25 19:02
5.2K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 19:02
5.2K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 19:02
3.9K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 19:02
3.3K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 19:02
3.2K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 19:02
3.1K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 19:02
4.6K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 19:02
4.9K
perl-pod-tidy-0.10-r1.apk
2024-10-25 19:02
10K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 19:02
11K
perl-proc-guard-0.07-r4.apk
2024-10-25 19:02
3.7K
perl-proc-guard-doc-0.07-r4.apk
2024-10-25 19:02
3.5K
perl-promise-es6-0.28-r0.apk
2024-10-25 19:02
11K
perl-promise-es6-anyevent-0.28-r0.apk
2024-10-25 19:02
2.5K
perl-promise-es6-doc-0.28-r0.apk
2024-10-25 19:02
12K
perl-promise-es6-future-0.28-r0.apk
2024-10-25 19:02
2.3K
perl-promise-es6-io-async-0.28-r0.apk
2024-10-25 19:02
3.0K
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2024-10-25 19:02
2.6K
perl-protocol-database-postgresql-2.001-r0.apk
2024-10-25 19:02
19K
perl-protocol-database-postgresql-doc-2.001-r0.apk
2024-10-25 19:02
38K
perl-protocol-redis-1.0021-r0.apk
2024-10-25 19:02
5.6K
perl-protocol-redis-doc-1.0021-r0.apk
2024-10-25 19:02
5.0K
perl-protocol-redis-faster-0.003-r0.apk
2024-10-25 19:02
3.4K
perl-protocol-redis-faster-doc-0.003-r0.apk
2024-10-25 19:02
3.3K
perl-role-eventemitter-0.003-r0.apk
2024-10-25 19:02
3.7K
perl-role-eventemitter-doc-0.003-r0.apk
2024-10-25 19:02
4.0K
perl-rxperl-6.29.8-r0.apk
2024-10-25 19:02
26K
perl-rxperl-anyevent-6.8.1-r0.apk
2024-10-25 19:02
2.7K
perl-rxperl-anyevent-doc-6.8.1-r0.apk
2024-10-25 19:02
9.0K
perl-rxperl-doc-6.29.8-r0.apk
2024-10-25 19:02
23K
perl-rxperl-ioasync-6.9.1-r0.apk
2024-10-25 19:02
2.8K
perl-rxperl-ioasync-doc-6.9.1-r0.apk
2024-10-25 19:02
9.0K
perl-rxperl-mojo-6.8.2-r0.apk
2024-10-25 19:02
2.8K
perl-rxperl-mojo-doc-6.8.2-r0.apk
2024-10-25 19:02
9.1K
perl-ryu-4.001-r0.apk
2024-10-25 19:02
26K
perl-ryu-async-0.020-r0.apk
2024-10-25 19:02
7.5K
perl-ryu-async-doc-0.020-r0.apk
2024-10-25 19:02
12K
perl-ryu-doc-4.001-r0.apk
2024-10-25 19:02
35K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 19:02
8.8K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 19:02
7.4K
perl-soap-lite-1.27-r5.apk
2024-10-25 19:02
110K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 19:02
90K
perl-sort-naturally-1.03-r4.apk
2024-10-25 19:02
8.7K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 19:02
5.5K
perl-sort-versions-1.62-r0.apk
2024-10-25 19:02
3.7K
perl-sort-versions-doc-1.62-r0.apk
2024-10-25 19:02
4.2K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 19:02
30K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 19:02
20K
perl-starman-0.4017-r0.apk
2024-10-25 19:02
13K
perl-starman-doc-0.4017-r0.apk
2024-10-25 19:02
10K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 19:02
9.2K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 19:02
50K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 19:02
30K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 19:02
38K
perl-storable-improved-0.1.3-r0.apk
2024-10-25 19:02
6.7K
perl-storable-improved-doc-0.1.3-r0.apk
2024-10-25 19:02
6.9K
perl-string-camelcase-0.04-r2.apk
2024-10-25 19:02
3.2K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 19:02
3.5K
perl-string-random-0.32-r2.apk
2024-10-25 19:02
7.9K
perl-string-random-doc-0.32-r2.apk
2024-10-25 19:02
6.2K
perl-sys-syscall-0.25-r10.apk
2024-10-25 19:02
5.3K
perl-sys-syscall-doc-0.25-r10.apk
2024-10-25 19:02
3.7K
perl-system-command-1.122-r0.apk
2024-10-25 19:02
12K
perl-system-command-doc-1.122-r0.apk
2024-10-25 19:02
10K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 19:02
2.7K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 19:02
3.0K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 19:02
4.9K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 19:02
4.4K
perl-term-ui-0.50-r1.apk
2024-10-25 19:02
10K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 19:02
8.5K
perl-test-api-0.010-r2.apk
2024-10-25 19:02
5.1K
perl-test-api-doc-0.010-r2.apk
2024-10-25 19:02
4.3K
perl-test-class-tiny-0.03-r0.apk
2024-10-25 19:02
5.9K
perl-test-class-tiny-doc-0.03-r0.apk
2024-10-25 19:02
5.4K
perl-test-describeme-0.004-r0.apk
2024-10-25 19:02
3.6K
perl-test-describeme-doc-0.004-r0.apk
2024-10-25 19:02
4.2K
perl-test-distribution-2.00-r1.apk
2024-10-25 19:02
7.8K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 19:02
6.1K
perl-test-expander-2.5.1-r0.apk
2024-10-25 19:02
7.1K
perl-test-expander-doc-2.5.1-r0.apk
2024-10-25 19:02
20K
perl-test-files-0.26-r0.apk
2024-10-25 19:02
6.7K
perl-test-files-doc-0.26-r0.apk
2024-10-25 19:02
15K
perl-test-lwp-useragent-0.036-r0.apk
2024-10-25 19:02
9.8K
perl-test-lwp-useragent-doc-0.036-r0.apk
2024-10-25 19:02
8.3K
perl-test-memorygrowth-0.05-r0.apk
2024-10-25 19:02
6.4K
perl-test-memorygrowth-doc-0.05-r0.apk
2024-10-25 19:02
5.3K
perl-test-modern-0.013-r3.apk
2024-10-25 19:02
15K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 19:02
9.9K
perl-test-randomresult-0.001-r0.apk
2024-10-25 19:02
3.5K
perl-test-randomresult-doc-0.001-r0.apk
2024-10-25 19:02
3.7K
perl-test-redisserver-0.23-r0.apk
2024-10-25 19:02
5.0K
perl-test-redisserver-doc-0.23-r0.apk
2024-10-25 19:02
4.1K
perl-test-requires-git-1.008-r0.apk
2024-10-25 19:02
4.8K
perl-test-requires-git-doc-1.008-r0.apk
2024-10-25 19:02
4.4K
perl-test-roo-1.004-r3.apk
2024-10-25 19:02
12K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 19:02
15K
perl-test-settings-0.003-r0.apk
2024-10-25 19:02
4.9K
perl-test-settings-doc-0.003-r0.apk
2024-10-25 19:02
6.0K
perl-test-timer-2.12-r2.apk
2024-10-25 19:02
8.9K
perl-test-timer-doc-2.12-r2.apk
2024-10-25 19:02
8.4K
perl-test-toolbox-0.4-r5.apk
2024-10-25 19:02
9.9K
perl-test-toolbox-doc-0.4-r5.apk
2024-10-25 19:02
6.2K
perl-test-trap-0.3.5-r1.apk
2024-10-25 19:02
20K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 19:02
20K
perl-test-unit-0.27-r0.apk
2024-10-25 19:02
37K
perl-test-unit-doc-0.27-r0.apk
2024-10-25 19:02
48K
perl-test-useallmodules-0.17-r1.apk
2024-10-25 19:02
3.8K
perl-test-useallmodules-doc-0.17-r1.apk
2024-10-25 19:02
3.9K
perl-test2-tools-explain-0.02-r0.apk
2024-10-25 19:02
3.8K
perl-test2-tools-explain-doc-0.02-r0.apk
2024-10-25 19:02
4.4K
perl-text-brew-0.02-r5.apk
2024-10-25 19:02
4.5K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 19:02
4.1K
perl-text-table-any-0.117-r0.apk
2024-10-25 19:02
8.1K
perl-text-table-any-doc-0.117-r0.apk
2024-10-25 19:02
6.7K
perl-text-table-sprintf-0.008-r0.apk
2024-10-25 19:02
5.4K
perl-text-table-sprintf-doc-0.008-r0.apk
2024-10-25 19:02
5.2K
perl-throwable-1.001-r1.apk
2024-10-25 19:02
6.2K
perl-throwable-doc-1.001-r1.apk
2024-10-25 19:02
8.0K
perl-tickit-widget-choice-0.07-r0.apk
2024-10-25 19:02
3.9K
perl-tickit-widget-choice-doc-0.07-r0.apk
2024-10-25 19:02
3.4K
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
2024-10-25 19:02
4.6K
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
2024-10-25 19:02
3.8K
perl-tickit-widget-floatbox-0.11-r0.apk
2024-10-25 19:02
4.7K
perl-tickit-widget-floatbox-doc-0.11-r0.apk
2024-10-25 19:02
4.2K
perl-tickit-widget-menu-0.16-r0.apk
2024-10-25 19:02
7.2K
perl-tickit-widget-menu-doc-0.16-r0.apk
2024-10-25 19:02
6.9K
perl-tickit-widget-scrollbox-0.12-r0.apk
2024-10-25 19:02
8.0K
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
2024-10-25 19:02
6.5K
perl-time-moment-role-strptime-0.001-r0.apk
2024-10-25 19:02
2.7K
perl-time-moment-role-strptime-doc-0.001-r0.apk
2024-10-25 19:02
3.4K
perl-time-moment-role-timezone-1.000-r0.apk
2024-10-25 19:02
3.6K
perl-time-moment-role-timezone-doc-1.000-r0.apk
2024-10-25 19:02
4.0K
perl-types-path-tiny-0.006-r0.apk
2024-10-25 19:02
4.0K
perl-types-path-tiny-doc-0.006-r0.apk
2024-10-25 19:02
4.1K
perl-uri-fetch-0.15-r0.apk
2024-10-25 19:02
7.1K
perl-uri-fetch-doc-0.15-r0.apk
2024-10-25 19:02
7.5K
perl-uri-nested-0.10-r0.apk
2024-10-25 19:02
4.0K
perl-uri-nested-doc-0.10-r0.apk
2024-10-25 19:02
3.9K
perl-uri-redis-0.02-r0.apk
2024-10-25 19:02
3.2K
perl-uri-redis-doc-0.02-r0.apk
2024-10-25 19:02
4.6K
perl-uri-tcp-2.0.0-r0.apk
2024-10-25 19:02
2.7K
perl-uri-tcp-doc-2.0.0-r0.apk
2024-10-25 19:02
5.0K
perl-url-encode-0.03-r4.apk
2024-10-25 19:02
5.2K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 19:02
4.7K
perl-variable-disposition-0.005-r0.apk
2024-10-25 19:02
3.3K
perl-variable-disposition-doc-0.005-r0.apk
2024-10-25 19:02
5.7K
perl-x-tiny-0.22-r0.apk
2024-10-25 19:02
6.9K
perl-x-tiny-doc-0.22-r0.apk
2024-10-25 19:02
7.6K
perl-xml-atom-0.43-r0.apk
2024-10-25 19:02
20K
perl-xml-atom-doc-0.43-r0.apk
2024-10-25 19:02
16K
perl-xml-feed-0.65-r0.apk
2024-10-25 19:02
14K
perl-xml-feed-doc-0.65-r0.apk
2024-10-25 19:02
12K
perl-xml-parser-style-easytree-0.09-r0.apk
2024-10-25 19:02
5.0K
perl-xml-parser-style-easytree-doc-0.09-r0.apk
2024-10-25 19:02
5.4K
perl-xml-rpc-2.1-r0.apk
2024-10-25 19:02
5.7K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 19:02
4.9K
perl-xml-stream-1.24-r0.apk
2024-10-25 19:02
44K
perl-xml-stream-doc-1.24-r0.apk
2024-10-25 19:02
18K
pest-language-server-0.3.9-r0.apk
2024-10-25 19:02
1.0M
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 19:02
3.9M
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 19:02
1.8K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 19:02
287K
php81-pecl-amqp-2.1.2-r0.apk
2024-10-25 19:02
53K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 19:02
17K
php81-pecl-event-3.1.4-r0.apk
2024-10-25 19:02
48K
php81-pecl-igbinary-3.2.16-r0.apk
2024-10-25 19:02
33K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 19:02
40K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:02
10K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 19:02
29K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 19:02
7.0K
php81-pecl-mailparse-3.1.8-r0.apk
2024-10-25 19:02
23K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 19:02
41K
php81-pecl-memcached-3.3.0-r0.apk
2024-10-25 19:02
45K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 19:02
26K
php81-pecl-oauth-2.0.9-r0.apk
2024-10-25 19:02
34K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 19:02
17K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 19:02
27K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 19:02
6.4K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 19:02
9.9K
php81-pecl-vips-1.0.13-r0.apk
2024-10-25 19:02
16K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 19:02
12K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 19:02
801K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 19:02
35K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 19:02
13K
php82-pdlib-1.1.0-r1.apk
2024-10-25 19:02
483K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 19:02
4.3K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 19:02
40K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:02
10K
php82-pecl-oauth-2.0.9-r0.apk
2024-10-25 19:02
34K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 19:02
29K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 19:02
123K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 19:02
4.3K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:02
10K
php83-pecl-oauth-2.0.9-r0.apk
2024-10-25 19:02
34K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 19:02
49K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 19:02
30K
php84-pecl-oauth-2.0.9-r0.apk
2024-10-25 19:02
34K
pick-4.0.0-r0.apk
2024-10-25 19:02
9.9K
pick-doc-4.0.0-r0.apk
2024-10-25 19:02
3.3K
pidif-0.1-r1.apk
2024-10-25 19:02
159K
pimd-3.0_git20220201-r0.apk
2024-10-25 19:02
86K
pimd-dense-2.1.0-r0.apk
2024-10-25 19:02
53K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 19:02
20K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 19:02
1.9K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 19:02
35K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 19:02
1.6K
piping-server-0.18.0-r0.apk
2024-10-25 19:02
1.5M
piping-server-openrc-0.18.0-r0.apk
2024-10-25 19:02
1.8K
pithos-1.6.1-r0.apk
2024-10-25 19:02
104K
pithos-doc-1.6.1-r0.apk
2024-10-25 19:02
2.1K
pithos-pyc-1.6.1-r0.apk
2024-10-25 19:02
154K
pixi-0.24.2-r0.apk
2024-10-25 19:02
8.8M
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 19:02
7.2K
pixi-doc-0.24.2-r0.apk
2024-10-25 19:02
6.9K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 19:02
10K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 19:02
10K
platformio-core-6.1.7-r3.apk
2024-10-25 19:02
263K
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 19:02
552K
plib-1.8.5-r3.apk
2024-10-25 19:02
849K
plplot-5.15.0-r2.apk
2024-10-25 19:02
31K
plplot-dev-5.15.0-r2.apk
2024-10-25 19:02
59K
plplot-doc-5.15.0-r2.apk
2024-10-25 19:02
311K
plplot-libs-5.15.0-r2.apk
2024-10-25 19:02
200K
pmccabe-2.8-r1.apk
2024-10-25 19:02
23K
pmccabe-doc-2.8-r1.apk
2024-10-25 19:02
7.1K
pnmixer-0.7.2-r3.apk
2024-10-25 19:02
141K
pnmixer-doc-0.7.2-r3.apk
2024-10-25 19:02
2.3K
pnmixer-lang-0.7.2-r3.apk
2024-10-25 19:02
25K
pokoy-0.2.5-r0.apk
2024-10-25 19:02
11K
pokoy-doc-0.2.5-r0.apk
2024-10-25 19:02
3.0K
policycoreutils-3.6-r1.apk
2024-10-25 19:02
54K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 19:02
2.4K
policycoreutils-doc-3.6-r1.apk
2024-10-25 19:02
22K
policycoreutils-lang-3.6-r1.apk
2024-10-25 19:02
105K
polyglot-2.0.4-r1.apk
2024-10-25 19:02
64K
polyglot-doc-2.0.4-r1.apk
2024-10-25 19:02
48K
pongoos-loader-0_git20210704-r1.apk
2024-10-25 19:02
2.4K
portsmf-239-r1.apk
2024-10-25 19:02
56K
portsmf-dev-239-r1.apk
2024-10-25 19:02
20K
postgresql-hll-2.18-r0.apk
2024-10-25 19:02
26K
postgresql-hll-bitcode-2.18-r0.apk
2024-10-25 19:02
56K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 19:02
610K
postgresql-pg_variables-1.2.5_git20230922-r0.apk
2024-10-25 19:02
23K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
2024-10-25 19:02
53K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 19:02
260K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 19:02
70K
powder-toy-97.0.352-r1.apk
2024-10-25 19:02
816K
powerstat-0.04.01-r0.apk
2024-10-25 19:02
20K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 19:02
2.3K
powerstat-doc-0.04.01-r0.apk
2024-10-25 19:02
4.3K
pqiv-2.12-r1.apk
2024-10-25 19:02
67K
pqiv-doc-2.12-r1.apk
2024-10-25 19:02
12K
prjtrellis-1.4-r2.apk
2024-10-25 19:02
1.2M
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 19:02
3.3K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 19:02
2.1M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 19:02
39K
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 19:02
1.0M
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 19:02
1.1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 19:02
748K
projectm-3.1.12-r2.apk
2024-10-25 19:02
433K
projectm-dev-3.1.12-r2.apk
2024-10-25 19:02
606K
projectm-presets-3.1.12-r2.apk
2024-10-25 19:02
4.3M
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 19:02
402K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 19:02
2.0K
projectm-sdl-3.1.12-r2.apk
2024-10-25 19:02
316K
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 19:02
5.0K
proot-5.4.0-r1.apk
2024-10-25 19:02
75K
proot-doc-5.4.0-r1.apk
2024-10-25 19:02
10K
proot-static-5.4.0-r1.apk
2024-10-25 19:02
111K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.8K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.6K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 19:02
3.1K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.8K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 19:02
7.2K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 19:02
3.3K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.8K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.9K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.8K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.2K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 19:02
5.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 19:02
5.6K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 19:02
6.9K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 19:02
3.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 19:02
104K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.7K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.8K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 19:02
8.6K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.7K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.6K
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.5K
protoc-gen-js-3.21.4-r1.apk
2024-10-25 19:02
1.5M
psftools-1.1.2-r0.apk
2024-10-25 19:02
190K
psftools-dev-1.1.2-r0.apk
2024-10-25 19:02
78K
psftools-doc-1.1.2-r0.apk
2024-10-25 19:02
60K
psi-notify-1.3.1-r0.apk
2024-10-25 19:02
11K
pspp-2.0.1-r0.apk
2024-10-25 19:02
9.9M
pspp-dbg-2.0.1-r0.apk
2024-10-25 19:02
4.3M
pspp-doc-2.0.1-r0.apk
2024-10-25 19:02
9.0K
psst-0_git20240526-r1.apk
2024-10-25 19:02
7.7M
ptpd-2.3.1-r1.apk
2024-10-25 19:02
172K
ptpd-doc-2.3.1-r1.apk
2024-10-25 19:02
20K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 19:02
2.4K
pully-1.0.0-r0.apk
2024-10-25 19:02
2.5K
pully-openrc-1.0.0-r0.apk
2024-10-25 19:02
1.7K
pulseview-0.4.2-r8.apk
2024-10-25 19:02
942K
pulseview-doc-0.4.2-r8.apk
2024-10-25 19:02
3.7K
pulumi-watch-0.1.5-r2.apk
2024-10-25 19:02
815K
purple-facebook-0.9.6-r0.apk
2024-10-25 19:02
78K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 19:02
227K
pw-volume-0.5.0-r1.apk
2024-10-25 19:02
322K
pwauth-2.3.11-r2.apk
2024-10-25 19:02
3.8K
pwauth-doc-2.3.11-r2.apk
2024-10-25 19:02
6.8K
pxalarm-3.0.0-r0.apk
2024-10-25 19:02
2.9K
pxmenu-1.0.0-r1.apk
2024-10-25 19:02
2.9K
py-spy-0.3.14-r3.apk
2024-10-25 19:02
1.0M
py-spy-bash-completion-0.3.14-r3.apk
2024-10-25 19:02
2.4K
py-spy-doc-0.3.14-r3.apk
2024-10-25 19:02
2.3K
py-spy-fish-completion-0.3.14-r3.apk
2024-10-25 19:02
2.6K
py-spy-zsh-completion-0.3.14-r3.apk
2024-10-25 19:02
3.1K
py3-actdiag-3.0.0-r5.apk
2024-10-25 19:02
17K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 19:02
21K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 19:02
29K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 19:02
60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 19:02
446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 19:02
51K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 19:02
12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 19:02
9.2K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 19:02
10K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 19:02
15K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 19:02
21K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 19:02
19K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 19:02
30K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 19:02
16K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 19:02
24K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 19:02
388K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 19:02
18K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 19:02
673K
py3-allfiles-1.0-r8.apk
2024-10-25 19:02
3.6K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 19:02
3.3K
py3-altgraph-0.17.4-r1.apk
2024-10-25 19:02
21K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 19:02
29K
py3-ansi2html-1.9.2-r0.apk
2024-10-25 19:02
18K
py3-ansi2html-pyc-1.9.2-r0.apk
2024-10-25 19:02
22K
py3-anyascii-0.3.2-r1.apk
2024-10-25 19:02
275K
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 19:02
3.3K
py3-apicula-0.11.1-r1.apk
2024-10-25 19:02
8.5M
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 19:02
179K
py3-apio-0.9.5-r0.apk
2024-10-25 19:02
72K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 19:02
77K
py3-asif-0.3.2-r3.apk
2024-10-25 19:02
13K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 19:02
26K
py3-ask-0.0.8-r8.apk
2024-10-25 19:02
5.0K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 19:02
4.5K
py3-astral-3.2-r3.apk
2024-10-25 19:02
37K
py3-astral-pyc-3.2-r3.apk
2024-10-25 19:02
59K
py3-avro-1.11.3-r1.apk
2024-10-25 19:02
98K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 19:02
191K
py3-banal-1.0.6-r4.apk
2024-10-25 19:02
6.9K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 19:02
7.2K
py3-bandwidth-sdk-3.1.0-r8.apk
2024-10-25 19:02
46K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
2024-10-25 19:02
69K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 19:02
16K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 19:02
4.3K
py3-base58-2.1.1-r2.apk
2024-10-25 19:02
11K
py3-bencode-4.0.0-r1.apk
2024-10-25 19:02
17K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 19:02
10K
py3-bidict-0.23.1-r1.apk
2024-10-25 19:02
28K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 19:02
29K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 19:02
34K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 19:02
13K
py3-bleak-0.22.3-r0.apk
2024-10-25 19:02
370K
py3-blockchain-1.4.4-r7.apk
2024-10-25 19:02
11K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 19:02
18K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 19:02
4.9K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 19:02
5.2K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 19:02
4.3K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 19:02
3.3K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 19:02
3.1K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 19:02
4.0K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 19:02
3.7K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 19:02
3.2K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 19:02
2.6K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 19:02
6.1K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 19:02
5.2K
py3-bottle-session-1.0-r6.apk
2024-10-25 19:02
10K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 19:02
7.8K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 19:02
4.9K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 19:02
5.6K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 19:02
4.7K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 19:02
5.2K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 19:02
4.6K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 19:02
3.1K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 19:02
4.1K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 19:02
4.3K
py3-bson-0.5.10-r6.apk
2024-10-25 19:02
12K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 19:02
19K
py3-businesstime-0.3.0-r9.apk
2024-10-25 19:02
11K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 19:02
16K
py3-c3d-0.5.2-r1.apk
2024-10-25 19:02
32K
py3-c3d-pyc-0.5.2-r1.apk
2024-10-25 19:02
54K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 19:02
286K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 19:02
560K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 19:02
57K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 19:02
103K
py3-cchardet-2.1.7-r5.apk
2024-10-25 19:02
122K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 19:02
3.1K
py3-certauth-1.3.0-r1.apk
2024-10-25 19:02
8.7K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 19:02
9.1K
py3-class-doc-1.25-r1.apk
2024-10-25 19:02
6.1K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 19:02
8.7K
py3-click-completion-0.5.2-r1.apk
2024-10-25 19:02
11K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 19:02
14K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 19:02
5.1K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 19:02
4.5K
py3-click-threading-0.5.0-r5.apk
2024-10-25 19:02
6.3K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 19:02
7.9K
py3-clickclick-20.10.2-r4.apk
2024-10-25 19:02
8.0K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 19:02
9.8K
py3-cmd2-2.4.3-r2.apk
2024-10-25 19:02
139K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 19:02
223K
py3-cobs-1.2.0-r4.apk
2024-10-25 19:02
19K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 19:02
12K
py3-colander-2.0-r2.apk
2024-10-25 19:02
62K
py3-colander-pyc-2.0-r2.apk
2024-10-25 19:02
42K
py3-colorthief-0.2.1-r1.apk
2024-10-25 19:02
7.3K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 19:02
10K
py3-columnize-0.3.11-r4.apk
2024-10-25 19:02
8.5K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 19:02
7.5K
py3-compdb-0.2.0-r8.apk
2024-10-25 19:02
23K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 19:02
3.0K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 19:02
40K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 19:02
35K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 19:02
3.7K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 19:02
47K
py3-coreapi-2.3.3-r9.apk
2024-10-25 19:02
22K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 19:02
43K
py3-crc16-0.1.1-r10.apk
2024-10-25 19:02
12K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 19:02
4.7K
py3-createrepo_c-1.1.4-r0.apk
2024-10-25 19:02
41K
py3-createrepo_c-pyc-1.1.4-r0.apk
2024-10-25 19:02
15K
py3-cssutils-2.11.1-r1.apk
2024-10-25 19:02
155K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 19:02
279K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 19:02
670K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 19:02
936K
py3-cython-test-exception-raiser-1.0.2-r0.apk
2024-10-25 19:02
17K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
2024-10-25 19:02
1.8K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 19:02
27K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 19:02
36K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 19:02
11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 19:02
14K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 19:02
4.4K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 19:02
4.2K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 19:02
13K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 19:02
2.3K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 19:02
20K
py3-dexml-0.5.1-r9.apk
2024-10-25 19:02
22K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 19:02
37K
py3-distorm3-3.5.2-r6.apk
2024-10-25 19:02
46K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 19:02
49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 19:02
15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 19:02
15K
py3-django-suit-0.2.28-r8.apk
2024-10-25 19:02
366K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 19:02
32K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 19:02
4.0K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 19:02
5.0K
py3-doit-0.36.0-r5.apk
2024-10-25 19:02
77K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 19:02
133K
py3-dominate-2.9.1-r1.apk
2024-10-25 19:02
25K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 19:02
34K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 19:02
8.4K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 19:02
8.7K
py3-dpath-2.2.0-r0.apk
2024-10-25 19:02
17K
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 19:02
18K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 19:02
221K
py3-dweepy-0.3.0-r7.apk
2024-10-25 19:02
9.1K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 19:02
6.3K
py3-ecos-2.0.11-r4.apk
2024-10-25 19:02
27K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 19:02
3.6K
py3-edalize-0.5.4-r0.apk
2024-10-25 19:02
123K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 19:02
190K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 19:02
13K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 19:02
2.0K
py3-empy-3.3.4-r7.apk
2024-10-25 19:02
39K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 19:02
58K
py3-eradicate-2.3.0-r2.apk
2024-10-25 19:02
7.6K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 19:02
2.5K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 19:02
8.3K
py3-euclid3-0.01-r8.apk
2024-10-25 19:02
14K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 19:02
33K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 19:02
38K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 19:02
4.2K
py3-feedgen-1.0.0-r1.apk
2024-10-25 19:02
40K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 19:02
62K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 19:02
18K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 19:02
27K
py3-firmata-1.0.3-r10.apk
2024-10-25 19:02
14K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 19:02
21K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 19:02
5.2K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 19:02
2.6K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 19:02
18K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 19:02
3.3K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 19:02
6.2K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 19:02
6.0K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 19:02
15K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 19:02
17K
py3-flake8-isort-6.1.1-r1.apk
2024-10-25 19:02
18K
py3-flake8-isort-pyc-6.1.1-r1.apk
2024-10-25 19:02
5.4K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 19:02
7.1K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 19:02
5.7K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 19:02
6.7K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 19:02
4.4K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 19:02
5.3K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 19:02
3.7K
py3-flake8-todo-0.7-r7.apk
2024-10-25 19:02
3.6K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 19:02
2.2K
py3-flask-admin-1.6.1-r3.apk
2024-10-25 19:02
6.5M
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 19:02
358K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 19:02
5.1K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 19:02
4.9K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 19:02
5.3K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 19:02
4.1K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 19:02
7.1K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 19:02
5.8K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 19:02
13K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 19:02
18K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 19:02
4.8K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 19:02
4.1K
py3-flask-components-0.1.1-r9.apk
2024-10-25 19:02
3.9K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 19:02
3.3K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 19:02
86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 19:02
6.3K
py3-flask-gzip-0.2-r8.apk
2024-10-25 19:02
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 19:02
2.8K
py3-flask-headers-1.0-r9.apk
2024-10-25 19:02
3.2K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 19:02
2.5K
py3-flask-httpauth-4.8.0-r2.apk
2024-10-25 19:02
8.0K
py3-flask-httpauth-pyc-4.8.0-r2.apk
2024-10-25 19:02
11K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 19:02
4.1K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 19:02
3.4K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 19:02
5.6K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 19:02
7.9K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 19:02
16K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 19:02
26K
py3-flask-markdown-0.3-r8.apk
2024-10-25 19:02
5.6K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 19:02
3.9K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 19:02
8.2K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 19:02
11K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 19:02
172K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 19:02
95K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 19:02
115K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 19:02
20K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 19:02
40K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 19:02
59K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 19:02
7.9K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 19:02
7.0K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 19:02
9.0K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 19:02
9.7K
py3-fpdf-1.7.2-r5.apk
2024-10-25 19:02
40K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 19:02
89K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 19:02
161K
py3-geoip-1.3.2-r4.apk
2024-10-25 19:02
22K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 19:02
20K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 19:02
30K
py3-git-versioner-7.1-r1.apk
2024-10-25 19:02
12K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 19:02
14K
py3-github3-4.0.1-r1.apk
2024-10-25 19:02
128K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 19:02
227K
py3-glob2-0.7-r6.apk
2024-10-25 19:02
10K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 19:02
13K
py3-gls-1.3.1-r1.apk
2024-10-25 19:02
47K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 19:02
84K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 19:02
9.2K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 19:02
11K
py3-googletrans-3.0.0-r5.apk
2024-10-25 19:02
15K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 19:02
17K
py3-halo-0.0.31-r5.apk
2024-10-25 19:02
11K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 19:02
14K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 19:02
25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 19:02
24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 19:02
13K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 19:02
13K
py3-helper-2.5.0-r5.apk
2024-10-25 19:02
19K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 19:02
28K
py3-hg-git-1.1.1-r1.apk
2024-10-25 19:02
70K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 19:02
107K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 19:02
162K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 19:02
22K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 19:02
4.6K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 19:02
3.3K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 19:02
16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 19:02
20K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 19:02
229K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 19:02
243K
py3-incoming-0.3.1-r8.apk
2024-10-25 19:02
13K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 19:02
20K
py3-infinity-1.5-r6.apk
2024-10-25 19:02
4.4K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 19:02
3.7K
py3-iniparse-0.5-r7.apk
2024-10-25 19:02
19K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 19:02
10K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 19:02
25K
py3-intervals-0.9.2-r5.apk
2024-10-25 19:02
9.4K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 19:02
15K
py3-irc-20.4.1-r0.apk
2024-10-25 19:02
41K
py3-irc-pyc-20.4.1-r0.apk
2024-10-25 19:02
71K
py3-iso639-lang-2.2.3-r0.apk
2024-10-25 19:02
269K
py3-iso639-lang-pyc-2.2.3-r0.apk
2024-10-25 19:02
9.7K
py3-iterable-io-1.0.0-r0.apk
2024-10-25 19:02
6.0K
py3-iterable-io-pyc-1.0.0-r0.apk
2024-10-25 19:02
5.3K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 19:02
7.6K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 19:02
9.5K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 19:02
5.9K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 19:02
6.1K
py3-junit-xml-1.9-r3.apk
2024-10-25 19:02
8.3K
py3-junit-xml-pyc-1.9-r3.apk
2024-10-25 19:02
9.3K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 19:02
125K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 19:02
245K
py3-keepalive-0.5-r5.apk
2024-10-25 19:02
9.0K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 19:02
2.0K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 19:02
13K
py3-kerberos-1.3.1-r5.apk
2024-10-25 19:02
17K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 19:02
8.4K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 19:02
9.5K
py3-langcodes-3.3.0-r2.apk
2024-10-25 19:02
174K
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 19:02
110K
py3-latex2mathml-3.77.0-r1.apk
2024-10-25 19:02
72K
py3-latex2mathml-pyc-3.77.0-r1.apk
2024-10-25 19:02
35K
py3-lib_users-0.15-r4.apk
2024-10-25 19:02
16K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 19:02
9.5K
py3-libacl-0.7.0-r2.apk
2024-10-25 19:02
25K
py3-libiio-0.25-r2.apk
2024-10-25 19:02
13K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 19:02
28K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 19:02
33K
py3-libnacl-2.1.0-r1.apk
2024-10-25 19:02
20K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 19:02
30K
py3-librtmp-0.3.0-r6.apk
2024-10-25 19:02
34K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 19:02
25K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 19:02
21K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 19:02
23K
py3-litedram-2024.04-r0.apk
2024-10-25 19:02
176K
py3-liteeth-2024.04-r0.apk
2024-10-25 19:02
103K
py3-liteiclink-2024.04-r0.apk
2024-10-25 19:02
82K
py3-litejesd204b-2024.04-r0.apk
2024-10-25 19:02
13K
py3-litepcie-2024.04-r0.apk
2024-10-25 19:02
108K
py3-litesata-2024.04-r0.apk
2024-10-25 19:02
62K
py3-litescope-2024.04-r0.apk
2024-10-25 19:02
16K
py3-litesdcard-2024.04-r0.apk
2024-10-25 19:02
17K
py3-litespi-2024.04-r0.apk
2024-10-25 19:02
32K
py3-litex-2024.04-r0.apk
2024-10-25 19:02
1.3M
py3-litex-boards-2024.04-r0.apk
2024-10-25 19:02
390K
py3-litex-full-2024.04-r0.apk
2024-10-25 19:02
1.6K
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 19:02
1.6K
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 19:02
1.1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 19:02
5.6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 19:02
1.9M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 19:02
934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 19:02
500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 19:02
10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 19:02
1.8M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 19:02
112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 19:02
208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 19:02
19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 19:02
45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 19:02
221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 19:02
7.6K
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 19:02
230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 19:02
57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 19:02
675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 19:02
713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 19:02
2.4M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 19:02
58K
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 19:02
2.2M
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 19:02
4.7M
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 19:02
112K
py3-litex-pyc-2024.04-r0.apk
2024-10-25 19:02
2.6M
py3-log-symbols-0.0.14-r5.apk
2024-10-25 19:02
4.2K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 19:02
3.1K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 19:02
7.5K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 19:02
6.4K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 19:02
69K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 19:02
107K
py3-luhn-0.2.0-r9.apk
2024-10-25 19:02
4.0K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 19:02
2.6K
py3-lunr-0.6.2-r4.apk
2024-10-25 19:02
32K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 19:02
51K
py3-lzo-1.16-r1.apk
2024-10-25 19:02
16K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 19:02
2.0K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 19:02
190K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 19:02
123K
py3-mando-0.7.1-r3.apk
2024-10-25 19:02
22K
py3-mando-doc-0.7.1-r3.apk
2024-10-25 19:02
4.2K
py3-mando-pyc-0.7.1-r3.apk
2024-10-25 19:02
36K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 19:02
59K
py3-markdown2-2.5.0-r0.apk
2024-10-25 19:02
47K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 19:02
75K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 19:02
5.3K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 19:02
4.5K
py3-migen-0.9.2-r2.apk
2024-10-25 19:02
143K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 19:02
296K
py3-mnemonic-0.21-r0.apk
2024-10-25 19:02
95K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 19:02
2.4K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 19:02
9.7K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 19:02
25K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 19:02
48K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 19:02
25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 19:02
37K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 19:02
46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 19:02
74K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
2024-10-25 19:02
24K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
2024-10-25 19:02
39K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 19:02
25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 19:02
36K
py3-more-properties-1.1.1-r3.apk
2024-10-25 19:02
7.4K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 19:02
8.2K
py3-moviepy-1.0.3-r6.apk
2024-10-25 19:02
94K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 19:02
156K
py3-natpmp-1.3.2-r1.apk
2024-10-25 19:02
9.4K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 19:02
9.9K
py3-ncclient-0.6.13-r5.apk
2024-10-25 19:02
68K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 19:02
107K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 19:02
189K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 19:02
9.2K
py3-nikola-8.3.1-r0.apk
2024-10-25 19:02
1.2M
py3-nikola-doc-8.3.1-r0.apk
2024-10-25 19:02
61K
py3-nikola-pyc-8.3.1-r0.apk
2024-10-25 19:02
530K
py3-nmap-0.7.1-r4.apk
2024-10-25 19:02
20K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 19:02
25K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 19:02
9.4K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 19:02
9.9K
py3-notifymail-1.1-r8.apk
2024-10-25 19:02
7.6K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 19:02
5.7K
py3-nptyping-2.5.0-r3.apk
2024-10-25 19:02
21K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 19:02
32K
py3-ntplib-0.4.0-r5.apk
2024-10-25 19:02
7.4K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 19:02
8.6K
py3-nwdiag-3.0.0-r3.apk
2024-10-25 19:02
4.9M
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 19:02
78K
py3-okonomiyaki-2.0.0-r0.apk
2024-10-25 19:02
7.9M
py3-okonomiyaki-pyc-2.0.0-r0.apk
2024-10-25 19:02
243K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 19:02
7.6K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 19:02
12K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 19:02
8.2K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 19:02
10K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 19:02
498K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 19:02
42K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 19:02
12K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 19:02
17K
py3-osqp-0.6.2-r6.apk
2024-10-25 19:02
104K
py3-osqp-dev-0.6.2-r6.apk
2024-10-25 19:02
49K
py3-osqp-pyc-0.6.2-r6.apk
2024-10-25 19:02
77K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 19:02
10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 19:02
8.2K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 19:02
46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 19:02
91K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 19:02
103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 19:02
164K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 19:02
358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 19:02
437K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 19:02
550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 19:02
86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 19:02
47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 19:02
104K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 19:02
8.1K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 19:02
3.4K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 19:02
4.5K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 19:02
4.4K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 19:02
10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 19:02
6.8K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 19:02
8.3K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 19:02
4.1K
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
2024-10-25 19:02
12K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
2024-10-25 19:02
11K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 19:02
9.5K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 19:02
5.4K
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk
2024-10-25 19:02
4.5K
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk
2024-10-25 19:02
4.4K
py3-pacparser-1.4.5-r1.apk
2024-10-25 19:02
408K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 19:02
4.0K
py3-padacioso-0.2.1-r0.apk
2024-10-25 19:02
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 19:02
12K
py3-pam-2.0.2-r2.apk
2024-10-25 19:02
11K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 19:02
13K
py3-pbkdf2-1.3-r7.apk
2024-10-25 19:02
6.3K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 19:02
7.1K
py3-pelican-4.9.1-r2.apk
2024-10-25 19:02
234K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 19:02
147K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 19:02
9.8K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 19:02
13K
py3-phpserialize-1.3-r8.apk
2024-10-25 19:02
8.9K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 19:02
11K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 19:02
13K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 19:02
2.2K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 19:02
17K
py3-piccata-2.0.3-r1.apk
2024-10-25 19:02
20K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 19:02
34K
py3-pickle-secure-0.99.9-r1.apk
2024-10-25 19:02
7.5K
py3-pickle-secure-pyc-0.99.9-r1.apk
2024-10-25 19:02
5.4K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 19:02
43K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 19:02
36K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 19:02
7.0K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 19:02
4.7K
py3-playsound-1.3.0-r1.apk
2024-10-25 19:02
6.9K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 19:02
8.5K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 19:02
15K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 19:02
25K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 19:02
14K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 19:02
16K
py3-print-color-0.4.6-r0.apk
2024-10-25 19:02
8.9K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 19:02
2.6K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 19:02
5.0K
py3-proglog-0.1.10-r2.apk
2024-10-25 19:02
7.4K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 19:02
9.9K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 19:02
21K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 19:02
38K
py3-py-radix-0.10.0-r10.apk
2024-10-25 19:02
19K
py3-py-radix-pyc-0.10.0-r10.apk
2024-10-25 19:02
11K
py3-pyatem-0.5.0-r4.apk
2024-10-25 19:02
52K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 19:02
92K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 19:02
35K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 19:02
45K
py3-pybars3-0.9.7-r6.apk
2024-10-25 19:02
15K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 19:02
17K
py3-pycaption-2.2.15-r0.apk
2024-10-25 19:02
360K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 19:02
5.5K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 19:02
3.7K
py3-pycosat-0.6.6-r2.apk
2024-10-25 19:02
45K
py3-pydes-2.0.1-r5.apk
2024-10-25 19:02
11K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 19:02
3.6K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 19:02
13K
py3-pygfm-2.0.0-r2.apk
2024-10-25 19:02
13K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 19:02
13K
py3-pygpgme-0.3.1-r9.apk
2024-10-25 19:02
36K
py3-pygpgme-pyc-0.3.1-r9.apk
2024-10-25 19:02
5.1K
py3-pygtail-0.14.0-r3.apk
2024-10-25 19:02
15K
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 19:02
10K
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 19:02
1.9M
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 19:02
502K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 19:02
20K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 19:02
9.4K
py3-pylru-1.2.1-r1.apk
2024-10-25 19:02
17K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 19:02
8.9K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 19:02
18K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 19:02
35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 19:02
52K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 19:02
32K
py3-pymata-2.20-r4.apk
2024-10-25 19:02
22K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 19:02
29K
py3-pymata4-1.15-r4.apk
2024-10-25 19:02
23K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 19:02
31K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 19:02
17K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 19:02
32K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 19:02
9.2K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 19:02
9.8K
py3-pyparted-3.13.0-r1.apk
2024-10-25 19:02
76K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 19:02
42K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 19:02
52K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 19:02
2.1K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 19:02
90K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 19:02
37K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 19:02
4.3K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 19:02
47K
py3-pyrebase-3.0.27-r5.apk
2024-10-25 19:02
9.6K
py3-pyrebase-pyc-3.0.27-r5.apk
2024-10-25 19:02
18K
py3-pyroma-4.2-r0.apk
2024-10-25 19:02
22K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 19:02
26K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 19:02
14K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 19:02
14K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 19:02
44K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 19:02
78K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 19:02
56K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 19:02
63K
py3-pystache-0.6.5-r1.apk
2024-10-25 19:02
68K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 19:02
97K
py3-pytap2-2.3.0-r0.apk
2024-10-25 19:02
7.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 19:02
2.8K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 19:02
6.0K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 19:02
5.9K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 19:02
7.0K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 19:02
4.5K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 19:02
2.8K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 19:02
22K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 19:02
22K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 19:02
10K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 19:02
7.9K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 19:02
20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 19:02
25K
py3-python-archive-0.2-r7.apk
2024-10-25 19:02
7.4K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 19:02
9.3K
py3-python-iptables-1.0.1-r1.apk
2024-10-25 19:02
39K
py3-python-iptables-pyc-1.0.1-r1.apk
2024-10-25 19:02
68K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 19:02
8.6K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 19:02
2.3K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 19:02
8.4K
py3-python-stdnum-1.20-r0.apk
2024-10-25 19:02
806K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 19:02
293K
py3-qasync-0.19.0-r2.apk
2024-10-25 19:02
37K
py3-qdldl-0.1.5-r4.apk
2024-10-25 19:02
94K
py3-qpageview-0.6.2-r1.apk
2024-10-25 19:02
98K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 19:02
56K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 19:02
180K
py3-qt.py-1.3.10-r1.apk
2024-10-25 19:02
33K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 19:02
25K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 19:02
8.8K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 19:02
7.8K
py3-rabbit-1.1.0-r8.apk
2024-10-25 19:02
11K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 19:02
15K
py3-radon-6.0.1-r2.apk
2024-10-25 19:02
32K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 19:02
5.1K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 19:02
50K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 19:02
12K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 19:02
18K
py3-redmine-2.5.0-r0.apk
2024-10-25 19:02
37K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 19:02
54K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 19:02
5.5K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 19:02
6.6K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 19:02
13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 19:02
12K
py3-rich-click-1.7.3-r1.apk
2024-10-25 19:02
31K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 19:02
40K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 19:02
13K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 19:02
11K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 19:02
47K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 19:02
91K
py3-rospkg-1.2.9-r5.apk
2024-10-25 19:02
29K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 19:02
54K
py3-rpio-0.10.1-r8.apk
2024-10-25 19:02
35K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 19:02
16K
py3-rst-0.1-r9.apk
2024-10-25 19:02
5.6K
py3-rst-pyc-0.1-r9.apk
2024-10-25 19:02
6.1K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 19:02
6.1K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 19:02
6.6K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 19:02
12K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 19:02
2.2K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 19:02
24K
py3-scour-0.38.2-r1.apk
2024-10-25 19:02
56K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 19:02
74K
py3-scrapy-2.11.1-r1.apk
2024-10-25 19:02
240K
py3-scrapy-pyc-2.11.1-r1.apk
2024-10-25 19:02
482K
py3-scs-3.2.3-r4.apk
2024-10-25 19:02
105K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 19:02
4.9K
py3-seqdiag-3.0.0-r5.apk
2024-10-25 19:02
2.5M
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 19:02
42K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 19:02
5.4K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 19:02
6.0K
py3-shodan-1.31.0-r1.apk
2024-10-25 19:02
44K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 19:02
7.2K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 19:02
79K
py3-simplematch-1.4-r1.apk
2024-10-25 19:02
8.0K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 19:02
5.8K
py3-simplesat-0.8.2-r0.apk
2024-10-25 19:02
214K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 19:02
157K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 19:02
7.9K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 19:02
12K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 19:02
7.5K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 19:02
8.1K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 19:02
382K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 19:02
5.8K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 19:02
729K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 19:02
15K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 19:02
26K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 19:02
17K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 19:02
27K
py3-solidpython-1.1.2-r2.apk
2024-10-25 19:02
79K
py3-solidpython-pyc-1.1.2-r2.apk
2024-10-25 19:02
120K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 19:02
11K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 19:02
14K
py3-spake2-0.9-r0.apk
2024-10-25 19:02
30K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 19:02
44K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 19:02
14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 19:02
22K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 19:02
11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 19:02
2.0K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 19:02
1.2M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 19:02
2.3K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 19:02
65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 19:02
1.8K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 19:02
81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 19:02
43K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 19:02
30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 19:02
2.5K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 19:02
2.4M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 19:02
5.5K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 19:02
8.8K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 19:02
2.2K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 19:02
7.6K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 19:02
9.3K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 19:02
9.0K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 19:02
12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 19:02
5.7K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 19:02
4.1K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 19:02
7.5K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 19:02
9.2K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 19:02
5.7K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 19:02
4.3K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 19:02
18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 19:02
34K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 19:02
9.1K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 19:02
3.5K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 19:02
3.9K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 19:02
3.3K
py3-sphinxcontrib-git-11.0.0-r7.apk
2024-10-25 19:02
17K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
2024-10-25 19:02
6.6K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 19:02
7.9K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 19:02
7.6K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 19:02
15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 19:02
18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 19:02
4.3K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 19:02
34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 19:02
21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 19:02
44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 19:02
15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 19:02
12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 19:02
7.9K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 19:02
4.1K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 19:02
3.1K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 19:02
8.7K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 19:02
16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 19:02
24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 19:02
20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 19:02
7.6K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 19:02
9.3K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 19:02
7.3K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 19:02
4.9K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 19:02
6.1K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 19:02
5.7K
py3-spidev-3.6-r1.apk
2024-10-25 19:02
13K
py3-spin-0.8-r0.apk
2024-10-25 19:02
19K
py3-spin-pyc-0.8-r0.apk
2024-10-25 19:02
24K
py3-spinners-0.0.24-r5.apk
2024-10-25 19:02
6.1K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 19:02
6.2K
py3-sstash-0.17-r9.apk
2024-10-25 19:02
7.7K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 19:02
10K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 19:02
2.5M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 19:02
2.1K
py3-tailer-0.4.1-r7.apk
2024-10-25 19:02
6.9K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 19:02
6.6K
py3-tasklib-2.5.1-r2.apk
2024-10-25 19:02
23K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 19:02
52K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 19:02
9.2K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 19:02
13K
py3-telemetrix-1.20-r3.apk
2024-10-25 19:02
21K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 19:02
31K
py3-teletype-1.3.4-r3.apk
2024-10-25 19:02
15K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 19:02
21K
py3-testresources-2.0.1-r6.apk
2024-10-25 19:02
17K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 19:02
16K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 19:02
10K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 19:02
9.0K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 19:02
6.0K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 19:02
6.4K
py3-timeago-1.0.16-r0.apk
2024-10-25 19:02
24K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 19:02
2.8K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 19:02
28K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 19:02
179K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 19:02
275K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 19:02
292K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 19:02
236K
py3-transitions-0.9.2-r0.apk
2024-10-25 19:02
98K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 19:02
129K
py3-translationstring-1.4-r4.apk
2024-10-25 19:02
9.2K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 19:02
8.8K
py3-trimesh-3.22.1-r2.apk
2024-10-25 19:02
629K
py3-trimesh-pyc-3.22.1-r2.apk
2024-10-25 19:02
731K
py3-trivup-0.12.2-r2.apk
2024-10-25 19:02
34K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 19:02
55K
py3-twiggy-0.5.1-r4.apk
2024-10-25 19:02
24K
py3-twiggy-pyc-0.5.1-r4.apk
2024-10-25 19:02
39K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 19:02
9.9K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 19:02
14K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 19:02
11K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 19:02
16K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 19:02
5.7K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 19:02
2.2K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 19:02
6.8K
py3-uc-micro-py-1.0.2-r1.apk
2024-10-25 19:02
9.1K
py3-unicorn-2.0.1-r4.apk
2024-10-25 19:02
34K
py3-unicorn-pyc-2.0.1-r4.apk
2024-10-25 19:02
57K
py3-uptime-3.0.1-r9.apk
2024-10-25 19:02
9.6K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 19:02
8.7K
py3-urlobject-2.4.3-r9.apk
2024-10-25 19:02
15K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 19:02
25K
py3-us-3.2.0-r0.apk
2024-10-25 19:02
14K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 19:02
15K
py3-utc-0.0.3-r9.apk
2024-10-25 19:02
3.5K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 19:02
2.8K
py3-vatnumber-1.2-r9.apk
2024-10-25 19:02
19K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 19:02
8.6K
py3-vdf-3.4-r1.apk
2024-10-25 19:02
11K
py3-vdf-pyc-3.4-r1.apk
2024-10-25 19:02
17K
py3-visitor-0.1.3-r7.apk
2024-10-25 19:02
4.6K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 19:02
2.6K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 19:02
41K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 19:02
80K
py3-wbdata-1.0.0-r1.apk
2024-10-25 19:02
18K
py3-wbdata-pyc-1.0.0-r1.apk
2024-10-25 19:02
20K
py3-webrtcvad-2.0.10-r1.apk
2024-10-25 19:02
23K
py3-webrtcvad-pyc-2.0.10-r1.apk
2024-10-25 19:02
2.8K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 19:02
7.6K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 19:02
13K
py3-wifi-0.3.8-r7.apk
2024-10-25 19:02
13K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 19:02
14K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 19:02
17K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 19:02
28K
py3-wstools-0.4.10-r7.apk
2024-10-25 19:02
53K
py3-wstools-pyc-0.4.10-r7.apk
2024-10-25 19:02
111K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 19:02
13K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 19:02
25K
py3-yapsy-1.12.2-r7.apk
2024-10-25 19:02
32K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 19:02
47K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 19:02
45K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 19:02
29K
py3-zope-configuration-5.0.1-r2.apk
2024-10-25 19:02
39K
py3-zope-configuration-pyc-5.0.1-r2.apk
2024-10-25 19:02
49K
py3-zope-i18nmessageid-6.1.0-r2.apk
2024-10-25 19:02
15K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
2024-10-25 19:02
8.0K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 19:02
45K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 19:02
61K
pympress-1.8.5-r1.apk
2024-10-25 19:02
181K
pympress-doc-1.8.5-r1.apk
2024-10-25 19:02
348K
pympress-lang-1.8.5-r1.apk
2024-10-25 19:02
56K
pympress-pyc-1.8.5-r1.apk
2024-10-25 19:02
182K
pypy-7.3.12-r0.apk
2024-10-25 19:02
18M
pypy-bootstrap-7.3.12-r0.apk
2024-10-25 19:02
19M
pypy-dev-7.3.12-r0.apk
2024-10-25 19:02
78K
pypy-tkinter-7.3.12-r0.apk
2024-10-25 19:02
452K
pypy3-7.3.12-r0.apk
2024-10-25 19:02
17M
pypy3-dev-7.3.12-r0.apk
2024-10-25 19:02
556K
pypy3-pyc-7.3.12-r0.apk
2024-10-25 19:02
5.9M
pypy3-tests-7.3.12-r0.apk
2024-10-25 19:02
13M
pypy3-tkinter-7.3.12-r0.apk
2024-10-25 19:02
300K
pyradio-0.9.3.11-r0.apk
2024-10-25 19:02
871K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 19:02
113K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 19:02
810K
qdjango-0.6.2-r1.apk
2024-10-25 19:02
97K
qdjango-dev-0.6.2-r1.apk
2024-10-25 19:02
14K
qflipper-1.3.3-r1.apk
2024-10-25 19:02
476K
qflipper-gui-1.3.3-r1.apk
2024-10-25 19:02
1.1M
qml-box2d-0_git20180406-r0.apk
2024-10-25 19:02
139K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 19:02
1.5K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 19:02
6.8K
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 19:02
29K
qownnotes-23.6.6-r0.apk
2024-10-25 19:02
2.3M
qownnotes-lang-23.6.6-r0.apk
2024-10-25 19:02
4.4M
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 19:02
172K
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 19:02
54K
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 19:02
62K
qsstv-9.5.8-r2.apk
2024-10-25 19:02
1.0M
qsynth-1.0.2-r0.apk
2024-10-25 19:02
426K
qsynth-doc-1.0.2-r0.apk
2024-10-25 19:02
4.4K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 19:02
13K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 19:02
3.9K
qt5ct-1.8-r0.apk
2024-10-25 19:02
224K
qt5ct-dev-1.8-r0.apk
2024-10-25 19:02
1.5K
qtox-1.17.6-r6.apk
2024-10-25 19:02
5.0M
qtpass-1.4.0-r0.apk
2024-10-25 19:02
420K
qtpass-doc-1.4.0-r0.apk
2024-10-25 19:02
2.1K
quakespasm-0.96.3-r0.apk
2024-10-25 19:02
465K
qucs-s-1.1.0-r1.apk
2024-10-25 19:02
3.4M
qucs-s-doc-1.1.0-r1.apk
2024-10-25 19:02
2.4K
qucs-s-lang-1.1.0-r1.apk
2024-10-25 19:02
865K
queercat-1.0.0-r0.apk
2024-10-25 19:02
7.3K
rankwidth-0.9-r3.apk
2024-10-25 19:02
5.3K
rankwidth-dev-0.9-r3.apk
2024-10-25 19:02
2.9K
rankwidth-doc-0.9-r3.apk
2024-10-25 19:02
3.0K
rankwidth-libs-0.9-r3.apk
2024-10-25 19:02
4.8K
rankwidth-static-0.9-r3.apk
2024-10-25 19:02
4.3K
rathole-0.5.0-r0.apk
2024-10-25 19:02
1.3M
rattler-build-0.18.0-r0.apk
2024-10-25 19:02
5.6M
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 19:02
3.6K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 19:02
6.7K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 19:02
4.7K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 19:02
5.4K
rauc-1.10.1-r0.apk
2024-10-25 19:02
143K
rauc-doc-1.10.1-r0.apk
2024-10-25 19:02
4.2K
rauc-service-1.10.1-r0.apk
2024-10-25 19:02
3.7K
razercfg-0.42-r7.apk
2024-10-25 19:02
78K
razercfg-gui-0.42-r7.apk
2024-10-25 19:02
19K
razercfg-openrc-0.42-r7.apk
2024-10-25 19:02
1.7K
razercfg-pyc-0.42-r7.apk
2024-10-25 19:02
36K
rclone-browser-1.8.0-r1.apk
2024-10-25 19:02
334K
rdedup-3.2.1-r5.apk
2024-10-25 19:02
794K
reason-3.8.2-r1.apk
2024-10-25 19:03
19M
reason-rtop-3.8.2-r1.apk
2024-10-25 19:03
24M
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 19:03
442K
recoll-1.37.5-r1.apk
2024-10-25 19:03
2.7M
recoll-dev-1.37.5-r1.apk
2024-10-25 19:03
53K
recoll-doc-1.37.5-r1.apk
2024-10-25 19:03
21K
refind-0.14.2-r0.apk
2024-10-25 19:03
1.0M
refind-doc-0.14.2-r0.apk
2024-10-25 19:03
14K
remake-1.5-r1.apk
2024-10-25 19:03
137K
remake-dev-1.5-r1.apk
2024-10-25 19:03
2.9K
remake-doc-1.5-r1.apk
2024-10-25 19:03
202K
remake-make-1.5-r1.apk
2024-10-25 19:03
1.5K
remind-caldav-0.8.0-r4.apk
2024-10-25 19:03
18K
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 19:03
6.1K
repgrep-0.15.0-r0.apk
2024-10-25 19:03
1.2M
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 19:03
1.6K
repgrep-doc-0.15.0-r0.apk
2024-10-25 19:03
6.5K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 19:03
4.1K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 19:03
1.6K
reredirect-0.3-r0.apk
2024-10-25 19:03
8.7K
reredirect-doc-0.3-r0.apk
2024-10-25 19:03
2.8K
responder-3.1.5.0-r0.apk
2024-10-25 19:03
750K
restart-services-0.17.0-r0.apk
2024-10-25 19:03
12K
restart-services-doc-0.17.0-r0.apk
2024-10-25 19:03
5.9K
restic.mk-0.4.0-r0.apk
2024-10-25 19:03
2.9K
rezolus-2.11.1-r3.apk
2024-10-25 19:03
886K
rezolus-doc-2.11.1-r3.apk
2024-10-25 19:03
3.4K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 19:03
2.1K
rgxg-0.1.2-r2.apk
2024-10-25 19:03
13K
rgxg-dev-0.1.2-r2.apk
2024-10-25 19:03
3.5K
rgxg-doc-0.1.2-r2.apk
2024-10-25 19:03
12K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 19:03
44K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 19:03
73K
ri-li-2.0.1-r1.apk
2024-10-25 19:03
18M
riemann-cli-0.8.0-r2.apk
2024-10-25 19:03
515K
rinetd-0.73-r0.apk
2024-10-25 19:03
14K
rinetd-doc-0.73-r0.apk
2024-10-25 19:03
16K
rinetd-openrc-0.73-r0.apk
2024-10-25 19:03
1.7K
rkdeveloptool-1.1.0-r1.apk
2024-10-25 19:03
55K
rkdeveloptool-doc-1.1.0-r1.apk
2024-10-25 19:03
3.0K
rmlint-2.10.2-r2.apk
2024-10-25 19:03
141K
rmlint-doc-2.10.2-r2.apk
2024-10-25 19:03
18K
rmlint-lang-2.10.2-r2.apk
2024-10-25 19:03
19K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 19:03
96K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 19:03
124K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 19:03
5.0K
rofi-pass-2.0.2-r2.apk
2024-10-25 19:03
8.8K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 19:03
5.0K
rosdep-0.19.0-r6.apk
2024-10-25 19:03
66K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 19:03
119K
rpg-cli-1.2.0-r0.apk
2024-10-25 19:03
586K
rtl-power-fftw-20200601-r4.apk
2024-10-25 19:03
59K
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 19:03
8.2K
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 19:03
4.0M
rtmidi-6.0.0-r0.apk
2024-10-25 19:03
29K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 19:03
14K
rtptools-1.22-r2.apk
2024-10-25 19:03
28K
rtptools-doc-1.22-r2.apk
2024-10-25 19:03
13K
rtw89-src-7_p20230725-r0.apk
2024-10-25 19:03
759K
runst-0.1.7-r0.apk
2024-10-25 19:03
1.5M
runst-doc-0.1.7-r0.apk
2024-10-25 19:03
7.7K
rustdesk-server-1.1.10.3-r0.apk
2024-10-25 19:03
2.4M
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-10-25 19:03
2.2K
rustic-0.9.3-r0.apk
2024-10-25 19:03
6.1M
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 19:03
8.6K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 19:03
17K
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 19:03
13K
rustscan-2.3.0-r0.apk
2024-10-25 19:03
1.5M
rvlprog-0.91-r2.apk
2024-10-25 19:03
28K
ry-0.5.2-r1.apk
2024-10-25 19:03
4.6K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 19:03
2.0K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 19:03
2.3K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 19:03
54K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 19:03
8.5K
s-postgray-0.8.3-r0.apk
2024-10-25 19:03
45K
s-postgray-doc-0.8.3-r0.apk
2024-10-25 19:03
9.6K
saait-0.8-r0.apk
2024-10-25 19:03
6.8K
saait-doc-0.8-r0.apk
2024-10-25 19:03
13K
sbase-0_git20210730-r3.apk
2024-10-25 19:03
118K
sbase-doc-0_git20210730-r3.apk
2024-10-25 19:03
58K
sblg-0.5.11-r0.apk
2024-10-25 19:03
41K
sblg-doc-0.5.11-r0.apk
2024-10-25 19:03
1.3M
sblim-sfcc-2.2.8-r3.apk
2024-10-25 19:03
51K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 19:03
22K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 19:03
35K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 19:03
99K
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 19:03
4.5K
scap-workbench-1.2.1-r3.apk
2024-10-25 19:03
230K
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 19:03
1.6M
schismtracker-20231029-r0.apk
2024-10-25 19:03
371K
schismtracker-doc-20231029-r0.apk
2024-10-25 19:03
6.2K
scooper-1.3-r1.apk
2024-10-25 19:03
496K
scooper-doc-1.3-r1.apk
2024-10-25 19:03
2.6K
scratch-1.4.0.7-r1.apk
2024-10-25 19:03
39M
scratch-doc-1.4.0.7-r1.apk
2024-10-25 19:03
2.6K
sct-2018.12.18-r1.apk
2024-10-25 19:03
3.8K
sdparm-1.12-r1.apk
2024-10-25 19:03
148K
sdparm-doc-1.12-r1.apk
2024-10-25 19:03
19K
sedutil-1.15.1-r1.apk
2024-10-25 19:03
188K
sedutil-doc-1.15.1-r1.apk
2024-10-25 19:03
3.1K
seed7-05.20240322-r0.apk
2024-10-25 19:03
10M
seed7-doc-05.20240322-r0.apk
2024-10-25 19:03
1.6M
seed7-nano-05.20240322-r0.apk
2024-10-25 19:03
2.5K
seed7-vim-05.20240322-r0.apk
2024-10-25 19:03
4.0K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 19:03
45K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 19:03
2.6K
setroot-2.0.2-r1.apk
2024-10-25 19:03
12K
setroot-doc-2.0.2-r1.apk
2024-10-25 19:03
4.4K
sflowtool-6.02-r0.apk
2024-10-25 19:03
40K
sflowtool-doc-6.02-r0.apk
2024-10-25 19:03
9.4K
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 19:03
2.6M
shadowsocks-libev-3.3.5-r4.apk
2024-10-25 19:03
219K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-10-25 19:03
3.5K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-10-25 19:03
28K
shc-4.0.3-r2.apk
2024-10-25 19:03
17K
shellinabox-2.21-r3.apk
2024-10-25 19:03
118K
shellinabox-doc-2.21-r3.apk
2024-10-25 19:03
19K
shellinabox-openrc-2.21-r3.apk
2024-10-25 19:03
3.5K
shfm-0.4.2-r1.apk
2024-10-25 19:03
4.0K
shfm-doc-0.4.2-r1.apk
2024-10-25 19:03
6.1K
shine-3.1.1-r0.apk
2024-10-25 19:03
54K
shipments-0.3.0-r0.apk
2024-10-25 19:03
23K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 19:03
1.8K
sigil-2.1.0-r0.apk
2024-10-25 19:03
4.1M
sigil-lang-2.1.0-r0.apk
2024-10-25 19:03
3.1M
sigma-0.23.1-r1.apk
2024-10-25 19:03
237K
sigma-pyc-0.23.1-r1.apk
2024-10-25 19:03
340K
sigrok-cli-0.7.2-r0.apk
2024-10-25 19:03
39K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 19:03
8.0K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 19:03
13K
simavr-1.7-r1.apk
2024-10-25 19:03
94K
simavr-dev-1.7-r1.apk
2024-10-25 19:03
859K
simh-3.11.1-r1.apk
2024-10-25 19:03
3.0M
sipgrep-2.2.0-r1.apk
2024-10-25 19:03
25K
slidge-0.1.3-r0.apk
2024-10-25 19:03
145K
slidge-doc-0.1.3-r0.apk
2024-10-25 19:03
4.5K
slidge-matridge-0.1.0-r0.apk
2024-10-25 19:03
30K
slidge-matridge-openrc-0.1.0-r0.apk
2024-10-25 19:03
1.5K
slidge-matridge-pyc-0.1.0-r0.apk
2024-10-25 19:03
38K
slidge-openrc-0.1.3-r0.apk
2024-10-25 19:03
2.3K
slidge-pyc-0.1.3-r0.apk
2024-10-25 19:03
285K
sloccount-2.26-r3.apk
2024-10-25 19:03
62K
sloccount-doc-2.26-r3.apk
2024-10-25 19:03
59K
slurm-0.4.4-r0.apk
2024-10-25 19:03
14K
slurm-doc-0.4.4-r0.apk
2024-10-25 19:03
2.3K
sndfile-tools-1.5-r1.apk
2024-10-25 19:03
39K
sndfile-tools-doc-1.5-r1.apk
2024-10-25 19:03
361K
snore-0.3.1-r0.apk
2024-10-25 19:03
4.4K
snore-doc-0.3.1-r0.apk
2024-10-25 19:03
3.1K
so-0.4.10-r0.apk
2024-10-25 19:03
2.0M
soapy-hackrf-0.3.4-r2.apk
2024-10-25 19:03
28K
soapy-sdr-remote-0.5.2-r1.apk
2024-10-25 19:03
195K
soapy-sdr-remote-doc-0.5.2-r1.apk
2024-10-25 19:03
2.4K
soapy-sdr-remote-openrc-0.5.2-r1.apk
2024-10-25 19:03
1.7K
somebar-1.0.3-r0.apk
2024-10-25 19:03
43K
somebar-doc-1.0.3-r0.apk
2024-10-25 19:03
2.4K
sopwith-2.5.0-r0.apk
2024-10-25 19:03
47K
sopwith-doc-2.5.0-r0.apk
2024-10-25 19:03
15K
sourcegit-8.33-r0.apk
2024-10-25 19:03
26M
spacenavd-1.2-r0.apk
2024-10-25 19:03
32K
spark-2.8.3-r1.apk
2024-10-25 19:03
29M
speedcrunch-0.12-r3.apk
2024-10-25 19:03
1.1M
speedtest-5.2.5-r1.apk
2024-10-25 19:03
253K
speedtest-doc-5.2.5-r1.apk
2024-10-25 19:03
18K
speedtest-examples-5.2.5-r1.apk
2024-10-25 19:03
13K
spice-html5-0.3.0-r1.apk
2024-10-25 19:03
438K
spike-1.1.0-r0.apk
2024-10-25 19:03
1.5M
spin-6.5.2-r1.apk
2024-10-25 19:03
362K
spin-doc-6.5.2-r1.apk
2024-10-25 19:03
5.9K
spiped-1.6.2-r1.apk
2024-10-25 19:03
78K
spnavcfg-1.1-r0.apk
2024-10-25 19:03
38K
spotify-tui-0.25.0-r2.apk
2024-10-25 19:03
1.8M
spread-sheet-widget-0.10-r0.apk
2024-10-25 19:03
44K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 19:03
193K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 19:03
363K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 19:03
4.6K
sqlar-0_git20180107-r1.apk
2024-10-25 19:03
13K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 19:03
3.3K
sqliteodbc-0.99991-r0.apk
2024-10-25 19:03
87K
sqm-scripts-1.6.0-r0.apk
2024-10-25 19:03
20K
squeak-vm-4.10.2.2614-r1.apk
2024-10-25 19:03
471K
squeak-vm-doc-4.10.2.2614-r1.apk
2024-10-25 19:03
12K
sregex-0.0.1-r1.apk
2024-10-25 19:03
25K
sregex-dev-0.0.1-r1.apk
2024-10-25 19:03
30K
ssdfs-tools-4.09-r0.apk
2024-10-25 19:03
94K
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 19:03
18K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 19:03
8.9K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 19:03
2.1K
ssh-tools-1.8-r0.apk
2024-10-25 19:03
26K
sshuttle-1.1.2-r0.apk
2024-10-25 19:03
62K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 19:03
8.5K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 19:03
101K
ssss-0.5.7-r0.apk
2024-10-25 19:03
12K
ssss-doc-0.5.7-r0.apk
2024-10-25 19:03
3.3K
starfighter-2.4-r0.apk
2024-10-25 19:03
48M
starfighter-doc-2.4-r0.apk
2024-10-25 19:03
22K
startup-2.0.3-r5.apk
2024-10-25 19:03
442K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 19:03
32K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 19:03
32K
startup-dev-2.0.3-r5.apk
2024-10-25 19:03
5.8K
startup-doc-2.0.3-r5.apk
2024-10-25 19:03
48K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 19:03
5.4K
startup-lang-2.0.3-r5.apk
2024-10-25 19:03
17K
startup-tools-2.0.3-r5.apk
2024-10-25 19:03
13K
steghide-0.5.1.1-r0.apk
2024-10-25 19:03
146K
steghide-doc-0.5.1.1-r0.apk
2024-10-25 19:03
14K
stgit-2.4.7-r1.apk
2024-10-25 19:03
1.9M
stgit-bash-completion-2.4.7-r1.apk
2024-10-25 19:03
17K
stgit-doc-2.4.7-r1.apk
2024-10-25 19:03
126K
stgit-emacs-2.4.7-r1.apk
2024-10-25 19:03
28K
stgit-fish-completion-2.4.7-r1.apk
2024-10-25 19:03
12K
stgit-vim-2.4.7-r1.apk
2024-10-25 19:03
3.5K
stgit-zsh-completion-2.4.7-r1.apk
2024-10-25 19:03
24K
sthttpd-2.27.1-r2.apk
2024-10-25 19:03
57K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 19:03
18K
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 19:03
2.0K
stone-soup-0.32.1-r0.apk
2024-10-25 19:03
32M
stw-0.3-r0.apk
2024-10-25 19:03
8.1K
stw-doc-0.3-r0.apk
2024-10-25 19:03
2.5K
sublime-music-0.12.0-r1.apk
2024-10-25 19:03
190K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 19:03
302K
subtitleeditor-0.54.0-r3.apk
2024-10-25 19:03
1.4M
subtitleeditor-dev-0.54.0-r3.apk
2024-10-25 19:03
1.5K
subtitleeditor-doc-0.54.0-r3.apk
2024-10-25 19:03
2.9K
supermin-5.2.2-r2.apk
2024-10-25 19:03
507K
supermin-doc-5.2.2-r2.apk
2024-10-25 19:03
9.4K
surf-2.1-r3.apk
2024-10-25 19:03
21K
surf-doc-2.1-r3.apk
2024-10-25 19:03
4.6K
surfraw-2.3.0-r0.apk
2024-10-25 19:03
79K
surfraw-doc-2.3.0-r0.apk
2024-10-25 19:03
18K
svls-0.2.12-r0.apk
2024-10-25 19:03
3.4M
svls-doc-0.2.12-r0.apk
2024-10-25 19:03
2.2K
svt-hevc-1.5.1-r2.apk
2024-10-25 19:03
31K
svt-hevc-dev-1.5.1-r2.apk
2024-10-25 19:03
10K
svt-hevc-doc-1.5.1-r2.apk
2024-10-25 19:03
5.0K
svt-hevc-libs-1.5.1-r2.apk
2024-10-25 19:03
733K
svt-vp9-0.3.0-r2.apk
2024-10-25 19:03
17K
svt-vp9-dev-0.3.0-r2.apk
2024-10-25 19:03
7.4K
svt-vp9-doc-0.3.0-r2.apk
2024-10-25 19:03
4.8K
svt-vp9-libs-0.3.0-r2.apk
2024-10-25 19:03
515K
swaks-20240103.0-r0.apk
2024-10-25 19:03
66K
swaks-doc-20240103.0-r0.apk
2024-10-25 19:03
50K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 19:03
9.8K
swayhide-0.2.1-r2.apk
2024-10-25 19:03
255K
swhkd-1.2.1-r0.apk
2024-10-25 19:03
1.1M
swhkd-doc-1.2.1-r0.apk
2024-10-25 19:03
6.2K
sxcs-1.1.0-r0.apk
2024-10-25 19:03
8.1K
sxcs-doc-1.1.0-r0.apk
2024-10-25 19:03
2.6K
sydbox-3.21.3-r0.apk
2024-10-25 19:03
1.4M
sydbox-doc-3.21.3-r0.apk
2024-10-25 19:03
84K
sydbox-oci-3.21.3-r0.apk
2024-10-25 19:03
1.8M
sydbox-utils-3.21.3-r0.apk
2024-10-25 19:03
6.2M
sydbox-vim-3.21.3-r0.apk
2024-10-25 19:03
5.2K
sylpheed-imap-notify-1.1.0-r2.apk
2024-10-25 19:03
7.9K
symbiyosys-0.36-r0.apk
2024-10-25 19:03
38K
symengine-0.12.0-r0.apk
2024-10-25 19:03
2.9M
sympow-2.023.7-r2.apk
2024-10-25 19:03
1.8M
sympow-doc-2.023.7-r2.apk
2024-10-25 19:03
3.1K
synapse-bt-1.0-r4.apk
2024-10-25 19:03
1.1M
synapse-bt-cli-1.0-r4.apk
2024-10-25 19:03
1.0M
synapse-bt-openrc-1.0-r4.apk
2024-10-25 19:03
1.8K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 19:03
440K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 19:03
2.2K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 19:03
221K
t2sz-1.1.2-r0.apk
2024-10-25 19:03
8.8K
tachyon-0.99_beta6-r1.apk
2024-10-25 19:03
101K
tachyon-scenes-0.99_beta6-r1.apk
2024-10-25 19:03
1.9M
tartube-2.5.0-r1.apk
2024-10-25 19:03
2.7M
tartube-pyc-2.5.0-r1.apk
2024-10-25 19:03
1.1M
tcl-curl-7.22.0-r0.apk
2024-10-25 19:03
32K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 19:03
38K
tcmu-runner-1.6.0-r6.apk
2024-10-25 19:03
82K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-25 19:03
2.5K
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-25 19:03
12K
tdrop-0.5.0-r0.apk
2024-10-25 19:03
12K
tdrop-doc-0.5.0-r0.apk
2024-10-25 19:03
8.9K
teapot-tools-0.4.2-r2.apk
2024-10-25 19:03
2.0M
tere-1.6.0-r0.apk
2024-10-25 19:03
1.0M
tere-doc-1.6.0-r0.apk
2024-10-25 19:03
14K
termbox-1.1.2-r1.apk
2024-10-25 19:03
11K
termbox-dev-1.1.2-r1.apk
2024-10-25 19:03
5.7K
termbox-static-1.1.2-r1.apk
2024-10-25 19:03
12K
termcolor-2.1.0-r0.apk
2024-10-25 19:03
1.5K
termcolor-dev-2.1.0-r0.apk
2024-10-25 19:03
6.8K
terminalpp-0.8.4-r0.apk
2024-10-25 19:03
411K
terminalpp-ropen-0.8.4-r0.apk
2024-10-25 19:03
56K
termusic-mpv-0.7.11-r0.apk
2024-10-25 19:03
5.9M
theforceengine-1.09.540-r1.apk
2024-10-25 19:03
6.9M
theforceengine-doc-1.09.540-r1.apk
2024-10-25 19:03
6.3M
thefuck-3.32-r5.apk
2024-10-25 19:03
83K
thefuck-pyc-3.32-r5.apk
2024-10-25 19:03
156K
thelounge-4.4.3-r0.apk
2024-10-25 19:03
28M
thelounge-doc-4.4.3-r0.apk
2024-10-25 19:03
2.3K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 19:03
2.0K
theme.sh-1.1.5-r0.apk
2024-10-25 19:03
39K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 19:03
2.3K
thumbdrives-0.3.2-r2.apk
2024-10-25 19:03
11K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 19:03
23K
thunarx-python-0.5.2-r2.apk
2024-10-25 19:03
9.3K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 19:03
25K
timew-1.4.3-r1.apk
2024-10-25 19:03
252K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 19:03
2.8K
timew-doc-1.4.3-r1.apk
2024-10-25 19:03
53K
timewarrior-1.7.1-r0.apk
2024-10-25 19:03
262K
timewarrior-doc-1.7.1-r0.apk
2024-10-25 19:03
22K
tintin-2.02.31-r0.apk
2024-10-25 19:03
1.8M
tinyscheme-1.42-r1.apk
2024-10-25 19:03
58K
tiptop-2.3.1-r2.apk
2024-10-25 19:03
34K
tiptop-doc-2.3.1-r2.apk
2024-10-25 19:03
7.1K
tmate-2.4.0-r4.apk
2024-10-25 19:03
270K
tmate-doc-2.4.0-r4.apk
2024-10-25 19:03
72K
tmpmail-1.2.3-r2.apk
2024-10-25 19:03
7.0K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 19:03
3.2K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 19:03
14K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 19:03
8.4K
tncattach-0.1.9-r1.apk
2024-10-25 19:03
22K
tncattach-doc-0.1.9-r1.apk
2024-10-25 19:03
3.9K
tnef-1.4.18-r0.apk
2024-10-25 19:03
25K
tnef-doc-1.4.18-r0.apk
2024-10-25 19:03
4.2K
toapk-1.0-r0.apk
2024-10-25 19:03
11K
topgit-0.19.13-r1.apk
2024-10-25 19:03
127K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 19:03
4.0K
topgit-doc-0.19.13-r1.apk
2024-10-25 19:03
73K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 19:03
364K
tpm2-pkcs11-1.9.1-r0.apk
2024-10-25 19:03
130K
tpm2-pkcs11-dev-1.9.1-r0.apk
2024-10-25 19:03
1.9K
tpm2-pkcs11-pyc-1.9.1-r0.apk
2024-10-25 19:03
69K
tpp-bypass-0.8.4-r0.apk
2024-10-25 19:03
13K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 19:03
145K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 19:03
4.2K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 19:03
106K
trantor-1.5.18-r0.apk
2024-10-25 19:03
229K
trantor-dev-1.5.18-r0.apk
2024-10-25 19:03
34K
trantor-doc-1.5.18-r0.apk
2024-10-25 19:03
2.6K
tre-0.8.0-r2.apk
2024-10-25 19:03
27K
tre-dev-0.8.0-r2.apk
2024-10-25 19:03
5.2K
tre-static-0.8.0-r2.apk
2024-10-25 19:03
28K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 19:03
70K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 19:03
2.3K
tree-sitter-gleam-1.0.0-r0.apk
2024-10-25 19:03
42K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 19:03
14K
tree-sitter-make-0_git20211216-r2.apk
2024-10-25 19:03
41K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 19:03
82K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 19:03
2.3K
ttfautohint-1.8.4-r0.apk
2024-10-25 19:03
27K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 19:03
153K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 19:03
8.1K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 19:03
57K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 19:03
102K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 19:03
8.3K
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 19:03
3.1K
tui-journal-0.10.0-r0.apk
2024-10-25 19:03
1.9M
tui-journal-doc-0.10.0-r0.apk
2024-10-25 19:03
6.9K
turnstile-0.1.10-r3.apk
2024-10-25 19:03
37K
turnstile-doc-0.1.10-r3.apk
2024-10-25 19:03
5.7K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 19:03
1.8K
twemproxy-0.5.0-r0.apk
2024-10-25 19:03
66K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 19:03
17K
twiggy-0.6.0-r3.apk
2024-10-25 19:03
796K
u1db-qt-0.1.8-r0.apk
2024-10-25 19:03
95K
uasm-2.56.2-r0.apk
2024-10-25 19:03
271K
ubase-20200605-r3.apk
2024-10-25 19:03
43K
ubase-doc-20200605-r3.apk
2024-10-25 19:03
21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 19:03
16K
Ubuntu Linux
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 19:03
2.3K
Ubuntu Linux
udpt-3.1.2-r0.apk
2024-10-25 19:03
695K
udpt-openrc-3.1.2-r0.apk
2024-10-25 19:03
1.8K
unicorn-2.0.1-r4.apk
2024-10-25 19:03
6.8M
unicorn-dev-2.0.1-r4.apk
2024-10-25 19:03
8.1M
uranium-5.2.2-r3.apk
2024-10-25 19:03
596K
urlwatch-2.28-r2.apk
2024-10-25 19:03
49K
urlwatch-doc-2.28-r2.apk
2024-10-25 19:03
33K
urlwatch-pyc-2.28-r2.apk
2024-10-25 19:03
101K
ustr-1.0.4-r1.apk
2024-10-25 19:03
60K
ustr-debug-1.0.4-r1.apk
2024-10-25 19:03
78K
ustr-dev-1.0.4-r1.apk
2024-10-25 19:03
91K
ustr-doc-1.0.4-r1.apk
2024-10-25 19:03
97K
ustr-static-1.0.4-r1.apk
2024-10-25 19:03
165K
utop-2.9.1-r4.apk
2024-10-25 19:03
13M
utop-common-2.9.1-r4.apk
2024-10-25 19:03
2.0K
utop-doc-2.9.1-r4.apk
2024-10-25 19:03
5.7K
utop-emacs-2.9.1-r4.apk
2024-10-25 19:03
13K
utop-full-2.9.1-r4.apk
2024-10-25 19:03
13M
uucp-1.07-r6.apk
2024-10-25 19:03
487K
uucp-doc-1.07-r6.apk
2024-10-25 19:03
118K
uxn-1.0-r0.apk
2024-10-25 19:03
40K
uxn-doc-1.0-r0.apk
2024-10-25 19:03
4.2K
vcsh-2.0.5-r0.apk
2024-10-25 19:03
8.8K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 19:03
2.9K
vcsh-doc-2.0.5-r0.apk
2024-10-25 19:03
27K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 19:03
2.9K
vcstool-0.3.0-r5.apk
2024-10-25 19:03
35K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 19:03
1.8K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 19:03
58K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 19:03
1.6K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 19:03
1.7K
vdr-plugin-dvbapi-2.2.5-r0.apk
2024-10-25 19:03
61K
vdr-plugin-dvbapi-lang-2.2.5-r0.apk
2024-10-25 19:03
2.5K
vectoroids-1.1.0-r2.apk
2024-10-25 19:03
282K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 19:03
2.3K
vera++-1.3.0-r10.apk
2024-10-25 19:03
247K
vfd-configurations-0_git20230612-r0.apk
2024-10-25 19:03
25K
vidcutter-6.0.5.3-r0.apk
2024-10-25 19:03
2.8M
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 19:03
24K
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 19:03
1.9M
viewnior-1.8-r1.apk
2024-10-25 19:03
72K
viewnior-doc-1.8-r1.apk
2024-10-25 19:03
2.1K
viewnior-lang-1.8-r1.apk
2024-10-25 19:03
85K
vim-airline-0.11-r0.apk
2024-10-25 19:03
86K
vim-airline-doc-0.11-r0.apk
2024-10-25 19:03
12K
vit-2.3.2-r1.apk
2024-10-25 19:03
80K
vit-pyc-2.3.2-r1.apk
2024-10-25 19:03
151K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 19:03
404K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 19:03
3.1K
vmtouch-1.3.1-r0.apk
2024-10-25 19:03
12K
vmtouch-doc-1.3.1-r0.apk
2024-10-25 19:03
8.0K
voikko-fi-2.5-r0.apk
2024-10-25 19:03
1.6M
volumeicon-0.5.1-r1.apk
2024-10-25 19:03
43K
volumeicon-lang-0.5.1-r1.apk
2024-10-25 19:03
3.8K
vym-2.9.26-r0.apk
2024-10-25 19:03
2.8M
vym-doc-2.9.26-r0.apk
2024-10-25 19:03
3.4M
wakeonlan-0.42-r0.apk
2024-10-25 19:03
4.5K
wakeonlan-doc-0.42-r0.apk
2024-10-25 19:03
7.5K
walk-sor-0_git20190920-r1.apk
2024-10-25 19:03
5.5K
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 19:03
7.8K
watchbind-0.2.1-r1.apk
2024-10-25 19:04
1.1M
watchbind-doc-0.2.1-r1.apk
2024-10-25 19:04
6.6K
watchdog-5.16-r2.apk
2024-10-25 19:04
42K
watchdog-doc-5.16-r2.apk
2024-10-25 19:04
14K
watershot-0.2.0-r0.apk
2024-10-25 19:04
1.7M
waylevel-1.0.0-r1.apk
2024-10-25 19:04
303K
wch-isp-0.4.1-r2.apk
2024-10-25 19:04
10K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 19:04
2.7K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 19:04
1.6K
weggli-0.2.4-r1.apk
2024-10-25 19:04
823K
whipper-0.10.0-r5.apk
2024-10-25 19:04
113K
whipper-pyc-0.10.0-r5.apk
2024-10-25 19:04
185K
wiimms-iso-tools-3.04a-r1.apk
2024-10-25 19:04
3.6M
wiimms-iso-tools-doc-3.04a-r1.apk
2024-10-25 19:04
65K
wiimms-szs-tools-2.26a-r0.apk
2024-10-25 19:04
5.0M
wiimms-szs-tools-doc-2.26a-r0.apk
2024-10-25 19:04
50K
wiki-tui-0.8.2-r1.apk
2024-10-25 19:04
1.5M
wiki-tui-doc-0.8.2-r1.apk
2024-10-25 19:04
4.6K
wiremapper-0.10.0-r0.apk
2024-10-25 19:04
22K
witchery-0.0.3-r2.apk
2024-10-25 19:04
3.2K
wk-adblock-0.0.4-r5.apk
2024-10-25 19:04
166K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 19:04
2.1K
wl-clipboard-x11-5-r3.apk
2024-10-25 19:04
3.4K
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 19:04
2.9K
wlavu-0_git20201101-r1.apk
2024-10-25 19:04
12K
wlclock-1.0.1-r0.apk
2024-10-25 19:04
17K
wlclock-doc-1.0.1-r0.apk
2024-10-25 19:04
3.3K
wmctrl-1.07-r1.apk
2024-10-25 19:04
14K
wmctrl-doc-1.07-r1.apk
2024-10-25 19:04
5.1K
wmi-client-1.3.16-r5.apk
2024-10-25 19:04
2.4M
wok-3.0.0-r6.apk
2024-10-25 19:04
157K
wok-doc-3.0.0-r6.apk
2024-10-25 19:04
3.7K
wok-lang-3.0.0-r6.apk
2024-10-25 19:04
16K
wok-pyc-3.0.0-r6.apk
2024-10-25 19:04
119K
wol-0.7.1-r3.apk
2024-10-25 19:04
25K
wol-doc-0.7.1-r3.apk
2024-10-25 19:04
5.5K
wol-lang-0.7.1-r3.apk
2024-10-25 19:04
8.2K
wolfssh-1.4.17-r0.apk
2024-10-25 19:04
134K
wolfssh-dev-1.4.17-r0.apk
2024-10-25 19:04
172K
wpa_actiond-1.4-r7.apk
2024-10-25 19:04
10K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 19:04
2.2K
wput-0.6.2-r4.apk
2024-10-25 19:04
39K
wput-doc-0.6.2-r4.apk
2024-10-25 19:04
8.2K
wroomd-0.1.0-r0.apk
2024-10-25 19:04
1.0M
wroomd-openrc-0.1.0-r0.apk
2024-10-25 19:04
1.7K
wshowkeys-1.0-r0.apk
2024-10-25 19:04
15K
x11docker-7.6.0-r1.apk
2024-10-25 19:04
113K
x11docker-doc-7.6.0-r1.apk
2024-10-25 19:04
9.4K
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 19:04
70K
xf86-video-vboxvideo-1.0.0-r1.apk
2024-10-25 19:04
17K
xf86-video-vboxvideo-doc-1.0.0-r1.apk
2024-10-25 19:04
3.2K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 19:04
38K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 19:04
5.1K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 19:04
87K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 19:04
2.5K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 19:04
59K
xfd-1.1.4-r0.apk
2024-10-25 19:04
14K
xfd-doc-1.1.4-r0.apk
2024-10-25 19:04
4.9K
xgalaga-2.1.1.0-r1.apk
2024-10-25 19:04
311K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 19:04
2.6K
xiccd-0.3.0_git20211219-r1.apk
2024-10-25 19:04
16K
xiccd-doc-0.3.0_git20211219-r1.apk
2024-10-25 19:04
3.3K
xisxwayland-2-r1.apk
2024-10-25 19:04
3.9K
xisxwayland-doc-2-r1.apk
2024-10-25 19:04
2.0K
xlhtml-0.5.1-r0.apk
2024-10-25 19:04
11K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 19:04
2.5K
xload-1.1.4-r0.apk
2024-10-25 19:04
7.0K
xload-doc-1.1.4-r0.apk
2024-10-25 19:04
3.2K
xmag-1.0.8-r0.apk
2024-10-25 19:04
16K
xmag-doc-1.0.8-r0.apk
2024-10-25 19:04
4.7K
xmp-4.2.0-r0.apk
2024-10-25 19:04
22K
xmp-doc-4.2.0-r0.apk
2024-10-25 19:04
5.3K
xmppipe-0.16.0-r1.apk
2024-10-25 19:04
16K
xosview-1.24-r0.apk
2024-10-25 19:04
133K
xosview-doc-1.24-r0.apk
2024-10-25 19:04
13K
xsane-0.999-r2.apk
2024-10-25 19:04
1.5M
xsane-doc-0.999-r2.apk
2024-10-25 19:04
4.3K
xsane-lang-0.999-r2.apk
2024-10-25 19:04
440K
xsecurelock-1.9.0-r1.apk
2024-10-25 19:04
63K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 19:04
18K
xsoldier-1.8-r2.apk
2024-10-25 19:04
67K
xsoldier-doc-1.8-r2.apk
2024-10-25 19:04
2.6K
xva-img-1.5-r0.apk
2024-10-25 19:04
17K
xvidtune-1.0.4-r0.apk
2024-10-25 19:04
17K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 19:04
4.2K
xvkbd-4.1-r2.apk
2024-10-25 19:04
295K
xvkbd-doc-4.1-r2.apk
2024-10-25 19:04
11K
yamkix-0.10.0-r1.apk
2024-10-25 19:04
14K
yamkix-pyc-0.10.0-r1.apk
2024-10-25 19:04
12K
yass-2.5.0-r0.apk
2024-10-25 19:04
14M
ydcv-0.7-r8.apk
2024-10-25 19:04
20K
ydcv-pyc-0.7-r8.apk
2024-10-25 19:04
11K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 19:04
2.2K
yoe-kiosk-browser-0_git20231118-r0.apk
2024-10-25 19:04
9.0K
youki-0.4.1-r0.apk
2024-10-25 19:04
1.5M
youki-dbg-0.4.1-r0.apk
2024-10-25 19:04
4.6K
z-1.12-r0.apk
2024-10-25 19:04
4.6K
z-doc-1.12-r0.apk
2024-10-25 19:04
3.9K
zafiro-icon-theme-1.3-r0.apk
2024-10-25 19:04
19M
zapret-0.0.0_git20220125-r1.apk
2024-10-25 19:04
81K
zapret-doc-0.0.0_git20220125-r1.apk
2024-10-25 19:04
98K
zapret-openrc-0.0.0_git20220125-r1.apk
2024-10-25 19:04
2.1K
zarchive-0.1.2-r2.apk
2024-10-25 19:04
16K
zarchive-dev-0.1.2-r2.apk
2024-10-25 19:04
6.8K
zarchive-libs-0.1.2-r2.apk
2024-10-25 19:04
23K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 19:04
128K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 19:04
16K
zile-2.6.2-r1.apk
2024-10-25 19:04
119K
zile-doc-2.6.2-r1.apk
2024-10-25 19:04
16K
zita-njbridge-0.4.8-r1.apk
2024-10-25 19:04
29K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 19:04
5.2K
zsh-fzf-tab-0_git20220331-r1.apk
2024-10-25 19:04
16K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 19:04
749K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 19:04
2.9K
zydis-4.1.0-r0.apk
2024-10-25 19:04
206K
zydis-dev-4.1.0-r0.apk
2024-10-25 19:04
61K
zydis-doc-4.1.0-r0.apk
2024-10-25 19:04
1.6M
java-jtreg-7.5_p1-r0.apk
2024-10-27 13:25
4.6M
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 12:47
49K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 21:51
14K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 21:51
23K
elementary-music-8.0.0-r0.apk
2024-10-28 22:06
73K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 22:06
47K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 05:59
7.9K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 05:59
9.5K
evolution-on-3.24.4-r0.apk
2024-10-30 14:24
9.9K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 22:44
14K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 22:44
2.5K
idevicerestore-1.0.0-r4.apk
2024-10-30 22:44
81K
idevicerestore-doc-1.0.0-r4.apk
2024-10-30 22:44
2.5K
ifuse-1.1.4-r5.apk
2024-10-30 22:44
9.6K
ifuse-doc-1.1.4-r5.apk
2024-10-30 22:44
2.3K
libideviceactivation-1.1.1-r5.apk
2024-10-30 22:44
16K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 22:44
3.3K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 22:44
2.2K
libirecovery-1.2.1-r0.apk
2024-10-30 22:44
23K
libirecovery-dev-1.2.1-r0.apk
2024-10-30 22:44
4.1K
libirecovery-progs-1.2.1-r0.apk
2024-10-30 22:44
8.0K
py3-sh-2.1.0-r0.apk
2024-11-01 15:13
38K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 15:13
55K
spiritvnc-0.6.5-r0.apk
2024-11-03 05:09
48K
flawz-0.3.0-r0.apk
2024-11-03 21:07
1.2M
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 21:07
2.1K
flawz-doc-0.3.0-r0.apk
2024-11-03 21:07
6.0K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 21:07
1.9K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 21:07
2.2K
cproc-0_git20240427-r1.apk
2024-11-03 21:51
52K
cproc-dbg-0_git20240427-r1.apk
2024-11-03 21:51
121K
cproc-doc-0_git20240427-r1.apk
2024-11-03 21:51
2.8K
avara-0.7.1-r1.apk
2024-11-04 08:53
21M
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 12:28
10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 12:28
19K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 12:52
35K
e16-1.0.30-r0.apk
2024-11-05 13:22
783K
e16-doc-1.0.30-r0.apk
2024-11-05 13:22
27K
e16-lang-1.0.30-r0.apk
2024-11-05 13:22
380K
plots-0.7.0-r1.apk
2024-11-06 09:41
516K
py3-pyglm-2.7.3-r0.apk
2024-11-06 09:41
1.3M
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 09:41
52K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 09:41
68K
neofetch-7.1.0-r2.apk
2024-11-07 11:08
86K
neofetch-doc-7.1.0-r2.apk
2024-11-07 11:08
6.2K
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 22:08
83K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 01:44
222K
nvim-web-devicons-0.100_git20241011-r0.apk
2024-11-11 03:58
27K
nvim-web-devicons-doc-0.100_git20241011-r0.apk
2024-11-11 03:58
7.1K
nvim-plenary-0.1.4_git20240917-r0.apk
2024-11-11 04:07
102K
nvim-plenary-doc-0.1.4_git20240917-r0.apk
2024-11-11 04:07
10K
grcov-0.8.20-r0.apk
2024-11-11 10:06
1.9M
py3-pyvcd-0.4.1-r0.apk
2024-11-11 21:46
23K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 21:46
40K
game-devices-udev-0.23-r0.apk
2024-11-12 10:57
6.2K
geotagging-0.7.4-r0.apk
2024-11-12 11:18
440K
py3-xapp-2.4.2-r0.apk
2024-11-12 11:18
34K
crun-vm-0.3.0-r0.apk
2024-11-12 11:40
1.1M
crun-vm-doc-0.3.0-r0.apk
2024-11-12 11:40
13K
appcenter-8.0.0-r0.apk
2024-11-12 21:55
406K
appcenter-lang-8.0.0-r0.apk
2024-11-12 21:55
258K
contractor-0.3.5-r0.apk
2024-11-12 21:55
26K
py3-pltable-1.1.0-r1.apk
2024-11-13 06:43
19K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 06:43
33K
py3-minidb-2.0.8-r0.apk
2024-11-13 20:04
10K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 20:04
23K
nvim-lualine-0.0.0_git20241101-r0.apk
2024-11-13 23:12
60K
nvim-lualine-doc-0.0.0_git20241101-r0.apk
2024-11-13 23:12
31K
py3-mss-10.0.0-r0.apk
2024-11-14 13:11
51K
perl-test-utf8-1.03-r0.apk
2024-11-16 15:17
5.6K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 15:17
4.9K
fplll-5.5.0-r0.apk
2024-11-17 04:04
49K
fplll-dev-5.5.0-r0.apk
2024-11-17 04:04
78K
fplll-libs-5.5.0-r0.apk
2024-11-17 04:04
5.2M
fplll-static-5.5.0-r0.apk
2024-11-17 04:04
5.9M
fplll-strategies-5.5.0-r0.apk
2024-11-17 04:04
1.7M
libbraiding-1.3.1-r0.apk
2024-11-17 04:04
95K
libbraiding-dev-1.3.1-r0.apk
2024-11-17 04:04
15K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-17 04:04
48K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-17 04:04
121K
apk-autoupdate-0_git20210421-r1.apk
2024-11-17 12:51
13K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-17 12:51
7.0K
linuxptp-4.4-r0.apk
2024-11-17 17:29
1.2K
linuxptp-doc-4.4-r0.apk
2024-11-17 17:29
38K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-17 17:29
4.3K
linuxptp-nsm-4.4-r0.apk
2024-11-17 17:29
32K
linuxptp-phc2sys-4.4-r0.apk
2024-11-17 17:29
36K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-17 17:29
9.5K
linuxptp-pmc-4.4-r0.apk
2024-11-17 17:29
35K
linuxptp-ptp4l-4.4-r0.apk
2024-11-17 17:29
77K
linuxptp-timemaster-4.4-r0.apk
2024-11-17 17:29
16K
linuxptp-ts2phc-4.4-r0.apk
2024-11-17 17:29
35K
linuxptp-tz2alt-4.4-r0.apk
2024-11-17 17:29
19K
randrctl-1.10.0-r0.apk
2024-11-17 21:22
28K
randrctl-pyc-1.10.0-r0.apk
2024-11-17 21:22
31K
py3-requests-cache-1.2.1-r1.apk
2024-11-18 08:46
50K
py3-requests-cache-pyc-1.2.1-r1.apk
2024-11-18 08:46
94K
r2ghidra-5.9.4-r2.apk
2024-11-19 17:26
14M
gufw-24.04-r3.apk
2024-11-19 21:49
596K
gufw-doc-24.04-r3.apk
2024-11-19 21:49
4.5K
gufw-lang-24.04-r3.apk
2024-11-19 21:49
855K
gufw-pyc-24.04-r3.apk
2024-11-19 21:49
65K
neko-2.3.0-r0.apk
2024-11-21 02:45
436K
neko-dev-2.3.0-r0.apk
2024-11-21 02:45
10K
neko-doc-2.3.0-r0.apk
2024-11-21 02:45
20K
smassh-3.1.6-r0.apk
2024-11-21 02:57
72K
smassh-pyc-3.1.6-r0.apk
2024-11-21 02:57
70K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 13:32
95K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 13:32
11K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 13:32
12K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 13:32
9.7K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 13:32
312K
paprefs-1.2-r2.apk
2024-11-22 22:14
30K
paprefs-lang-1.2-r2.apk
2024-11-22 22:14
38K
predict-2.3.1-r0.apk
2024-11-24 10:45
100K
predict-doc-2.3.1-r0.apk
2024-11-24 10:45
16K
qstardict-2.0.2-r1.apk
2024-11-24 10:45
446K
qstardict-doc-2.0.2-r1.apk
2024-11-24 10:45
11K
php81-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 17:30
61K
php82-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 17:30
61K
aspnetcore6-runtime-6.0.36-r1.apk
2024-11-25 04:49
8.7M
aspnetcore6-targeting-pack-6.0.36-r1.apk
2024-11-25 04:49
2.0M
dotnet-bash-completion-6.0.136-r1.apk
2024-11-25 04:49
1.8K
dotnet-doc-6.0.136-r1.apk
2024-11-25 04:49
113K
dotnet-host-6.0.36-r1.apk
2024-11-25 04:49
34K
dotnet-zsh-completion-6.0.136-r1.apk
2024-11-25 04:49
1.7K
dotnet6-apphost-pack-6.0.36-r1.apk
2024-11-25 04:49
4.6M
dotnet6-artifacts-6.0.136-r1.apk
2024-11-25 04:50
442M
dotnet6-build-6.0.136-r1.apk
2024-11-25 04:50
1.2G
dotnet6-hostfxr-6.0.36-r1.apk
2024-11-25 04:50
159K
dotnet6-runtime-6.0.36-r1.apk
2024-11-25 04:50
28M
dotnet6-sdk-6.0.136-r1.apk
2024-11-25 04:50
103M
dotnet6-targeting-pack-6.0.36-r1.apk
2024-11-25 04:50
3.1M
dotnet6-templates-6.0.136-r1.apk
2024-11-25 04:50
5.9M
netstandard21-targeting-pack-6.0.136-r1.apk
2024-11-25 04:50
1.9M
fnm-1.38.1-r0.apk
2024-11-25 14:28
1.9M
fnm-bash-completion-1.38.1-r0.apk
2024-11-25 14:28
2.8K
fnm-fish-completion-1.38.1-r0.apk
2024-11-25 14:28
4.3K
fnm-zsh-completion-1.38.1-r0.apk
2024-11-25 14:28
4.3K
highctidh-1.0.2024092800-r0.apk
2024-11-25 20:24
302K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 20:24
326K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 20:24
314K
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 20:24
12K
atac-0.18.1-r0.apk
2024-11-25 21:56
4.9M
py3-livestream-2.1.0-r0.apk
2024-11-25 22:22
766K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 22:22
30K
git-graph-0.6.0-r0.apk
2024-11-25 23:38
860K
git-graph-doc-0.6.0-r0.apk
2024-11-25 23:38
6.2K
gmid-2.1.1-r0.apk
2024-11-27 19:26
221K
gmid-doc-2.1.1-r0.apk
2024-11-27 19:26
14K
gmid-openrc-2.1.1-r0.apk
2024-11-27 19:26
2.2K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-27 23:05
9.3K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-27 23:05
4.2K
hare-madeline-0.1_git20240505-r1.apk
2024-11-29 00:08
25K
hare-madeline-doc-0.1_git20240505-r1.apk
2024-11-29 00:08
2.2K
haredo-1.0.5-r1.apk
2024-11-29 00:08
122K
haredo-doc-1.0.5-r1.apk
2024-11-29 00:08
4.7K
himitsu-firefox-0.6-r1.apk
2024-11-29 00:08
168K
mkrundir-0.4.0-r1.apk
2024-11-29 00:08
75K
treecat-1.0.2_git20240706-r1.apk
2024-11-29 13:19
112K
treecat-doc-1.0.2_git20240706-r1.apk
2024-11-29 13:19
3.3K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 22:00
25K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 22:00
1.8K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 22:00
46K
py3-manuel-1.13.0-r0.apk
2024-11-30 20:15
39K
py3-manuel-pyc-1.13.0-r0.apk
2024-11-30 20:15
26K
py3-protego-0.3.1-r0.apk
2024-11-30 20:18
9.3K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 20:18
12K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 20:42
11K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 20:42
13K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 21:06
16K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 21:06
17K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 02:04
21K
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 02:04
28K
tldr-python-client-3.3.0-r0.apk
2024-12-01 17:09
12K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 17:09
3.5K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 17:09
14K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 17:24
12K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 17:24
11K
py3-language-data-1.3.0-r0.apk
2024-12-01 20:08
5.0M
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 20:08
3.0M
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 20:13
8.7K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 20:13
10K
py3-soappy-0.52.30-r0.apk
2024-12-02 23:37
47K
py3-soappy-pyc-0.52.30-r0.apk
2024-12-02 23:37
95K
elementary-photos-8.0.1-r0.apk
2024-12-02 23:40
1.2M
elementary-photos-lang-8.0.1-r0.apk
2024-12-02 23:40
1.0M
openfire-4.8.1-r1.apk
2024-12-03 15:45
46M
openfire-doc-4.8.1-r1.apk
2024-12-03 15:45
3.8M
openfire-openrc-4.8.1-r1.apk
2024-12-03 15:45
1.9K
openfire-plugins-4.8.1-r1.apk
2024-12-03 15:45
72K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 17:17
9.1K
drupal7-7.103-r0.apk
2024-12-04 17:27
3.3M
drupal7-doc-7.103-r0.apk
2024-12-04 17:27
57K
boa-cli-0.20-r0.apk
2024-12-05 21:07
7.1M
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 23:00
11K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 23:00
14K
py3-sqlmodel-0.0.22-r1.apk
2024-12-06 23:03
26K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-06 23:03
41K
dooit-extras-0.2.0-r0.apk
2024-12-07 20:27
13K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 20:27
23K
py3-venusian-3.1.1-r0.apk
2024-12-07 21:14
14K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 21:14
12K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 21:51
13K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 21:51
7.9K
ocaml-menhir-20220210-r3.apk
2024-12-08 16:20
1.3M
ocaml-menhir-dev-20220210-r3.apk
2024-12-08 16:20
899K
ocaml-menhir-doc-20220210-r3.apk
2024-12-08 16:20
610K
endeavour-43.0-r2.apk
2024-12-08 21:48
191K
endeavour-dev-43.0-r2.apk
2024-12-08 21:48
46K
endeavour-doc-43.0-r2.apk
2024-12-08 21:48
68K
endeavour-lang-43.0-r2.apk
2024-12-08 21:48
203K
getting-things-gnome-0.6-r4.apk
2024-12-08 21:48
715K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 21:48
497K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 21:48
229K
planner-0.14.92-r1.apk
2024-12-08 21:48
345K
planner-doc-0.14.92-r1.apk
2024-12-08 21:48
2.2K
planner-lang-0.14.92-r1.apk
2024-12-08 21:48
825K
py3-liblarch-3.2.0-r6.apk
2024-12-08 21:48
30K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 21:48
50K
meson-tools-0.1-r2.apk
2024-12-09 16:38
8.0K
meson-tools-doc-0.1-r2.apk
2024-12-09 16:38
8.3K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 19:02
20K
perl-net-async-redis-6.006-r0.apk
2024-12-11 16:22
58K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 16:22
66K
openfortivpn-1.22.1-r0.apk
2024-12-12 04:50
41K
openfortivpn-doc-1.22.1-r0.apk
2024-12-12 04:50
6.3K
py3-eventlet-0.38.1-r0.apk
2024-12-12 04:50
332K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-12 04:50
336K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 07:50
18K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 07:50
6.2K
libantlr4-4.13.2-r0.apk
2024-12-12 11:22
462K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 11:22
936K
code-minimap-0.6.7-r0.apk
2024-12-12 19:36
356K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 19:36
8.0K
py3-janus-1.2.0-r0.apk
2024-12-13 04:57
12K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 04:57
13K
mdcat-2.7.1-r0.apk
2024-12-14 18:04
3.0M
mdcat-bash-completion-2.7.1-r0.apk
2024-12-14 18:04
2.2K
mdcat-doc-2.7.1-r0.apk
2024-12-14 18:04
6.0K
mdcat-fish-completion-2.7.1-r0.apk
2024-12-14 18:04
2.1K
mdcat-zsh-completion-2.7.1-r0.apk
2024-12-14 18:04
2.4K
jwt-cli-6.2.0-r0.apk
2024-12-14 18:18
1.0M
azote-1.14.0-r0.apk
2024-12-14 21:22
7.6M
azote-pyc-1.14.0-r0.apk
2024-12-14 21:22
98K
knxd-0.14.61-r1.apk
2024-12-14 21:23
397K
knxd-dev-0.14.61-r1.apk
2024-12-14 21:23
24K
libsemigroups-2.7.3-r1.apk
2024-12-14 21:23
799K
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 21:23
359K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 21:23
1.6M
pebble-le-0.3.0-r2.apk
2024-12-14 21:23
63K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 21:23
40K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 21:23
3.7K
restinio-0.6.19-r1.apk
2024-12-14 21:23
1.2K
restinio-dev-0.6.19-r1.apk
2024-12-14 21:23
268K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 22:59
6.7K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 22:59
8.1K
bore-0.5.2-r0.apk
2024-12-15 19:29
535K
throttled-0.10.0-r1.apk
2024-12-15 19:29
15K
throttled-openrc-0.10.0-r1.apk
2024-12-15 19:29
1.6K
throttled-pyc-0.10.0-r1.apk
2024-12-15 19:29
28K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 11:36
17K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 11:36
28K
tangara-companion-0.4.3-r0.apk
2024-12-17 20:29
1.0M
smplxmpp-0.9.3-r4.apk
2024-12-18 12:39
147K
smplxmpp-doc-0.9.3-r4.apk
2024-12-18 12:39
25K
swi-prolog-9.2.9-r0.apk
2024-12-21 10:09
4.7M
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 10:09
2.1M
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 10:09
22K
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 10:09
922K
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 10:09
1.0M
amber-mpris-1.2.9-r0.apk
2024-12-22 16:47
211K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 16:47
6.7K
pitivi-2023.03-r2.apk
2024-12-23 03:30
2.7M
pitivi-lang-2023.03-r2.apk
2024-12-23 03:30
678K
pitivi-pyc-2023.03-r2.apk
2024-12-23 03:30
700K
mint-x-icons-1.7.2-r0.apk
2024-12-24 10:07
22M
mint-x-icons-doc-1.7.2-r0.apk
2024-12-24 10:07
7.4K
guish-2.6.11-r0.apk
2024-12-24 10:42
99K
guish-doc-2.6.11-r0.apk
2024-12-24 10:42
61K
py3-limits-3.14.1-r0.apk
2024-12-25 19:00
33K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 19:00
71K
py3-minio-7.2.13-r0.apk
2024-12-25 19:00
76K
py3-minio-pyc-7.2.13-r0.apk
2024-12-25 19:00
160K
octoprint-1.10.3-r0.apk
2024-12-25 22:04
3.0M
octoprint-openrc-1.10.3-r0.apk
2024-12-25 22:04
1.7K
octoprint-pyc-1.10.3-r0.apk
2024-12-25 22:04
1.2M
py3-pysubs2-1.8.0-r0.apk
2024-12-25 22:09
36K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 22:09
68K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 14:02
2.5K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 14:02
3.1K
libdng-0.2.1-r0.apk
2024-12-27 22:09
11K
libdng-dev-0.2.1-r0.apk
2024-12-27 22:09
3.2K
libdng-doc-0.2.1-r0.apk
2024-12-27 22:09
4.2K
libdng-utils-0.2.1-r0.apk
2024-12-27 22:09
5.8K
perl-cli-osprey-0.08-r0.apk
2024-12-28 04:54
13K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 04:54
12K
perl-dancer2-1.1.2-r0.apk
2024-12-28 04:54
163K
perl-dancer2-doc-1.1.2-r0.apk
2024-12-28 04:54
301K
perl-dbix-connector-0.60-r0.apk
2024-12-30 06:37
15K
perl-dbix-connector-doc-0.60-r0.apk
2024-12-30 06:37
22K
perl-dbix-lite-0.36-r0.apk
2024-12-30 06:37
18K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 06:37
18K
fungw-1.2.1-r0.apk
2024-12-30 09:48
14K
fungw-c-1.2.1-r0.apk
2024-12-30 09:48
8.3K
fungw-cli-1.2.1-r0.apk
2024-12-30 09:48
24K
fungw-dev-1.2.1-r0.apk
2024-12-30 09:48
7.6K
fungw-doc-1.2.1-r0.apk
2024-12-30 09:48
13K
fungw-duktape-1.2.1-r0.apk
2024-12-30 09:48
18K
fungw-fawk-1.2.1-r0.apk
2024-12-30 09:48
115K
fungw-lua-1.2.1-r0.apk
2024-12-30 09:48
16K
fungw-mujs-1.2.1-r0.apk
2024-12-30 09:48
18K
fungw-perl-1.2.1-r0.apk
2024-12-30 09:48
48K
fungw-python3-1.2.1-r0.apk
2024-12-30 09:48
27K
fungw-tcl-1.2.1-r0.apk
2024-12-30 09:48
13K
soapy-bladerf-0.4.2-r0.apk
2024-12-31 10:53
47K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 10:59
15K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 10:59
11K
geoclue-stumbler-0.2.0-r0.apk
2024-12-31 12:56
26K
perl-datetime-format-flexible-0.37-r0.apk
2024-12-31 13:36
18K
perl-datetime-format-flexible-doc-0.37-r0.apk
2024-12-31 13:36
12K
pgcat-1.2.0-r1.apk
2025-01-01 17:38
2.6M
pgcat-openrc-1.2.0-r1.apk
2025-01-01 17:38
1.9K
py3-mopidy-local-3.3.0-r0.apk
2025-01-01 22:24
28K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-01 22:24
34K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 10:09
38K
bootchart2-0.14.9-r0.apk
2025-01-03 10:13
136K
plfit-1.0.1-r0.apk
2025-01-04 03:47
47K
plfit-dev-1.0.1-r0.apk
2025-01-04 03:47
6.5K
plfit-libs-1.0.1-r0.apk
2025-01-04 03:47
35K
plfit-static-1.0.1-r0.apk
2025-01-04 03:47
42K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 21:55
157K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 21:55
38K
twinkle-1.10.3-r3.apk
2025-01-04 21:55
2.4M
twinkle-doc-1.10.3-r3.apk
2025-01-04 21:55
3.5K
perl-datetime-format-atom-1.8.0-r0.apk
2025-01-05 15:14
3.2K
perl-datetime-format-atom-doc-1.8.0-r0.apk
2025-01-05 15:14
3.8K
perl-datetime-format-rfc3339-1.10.0-r0.apk
2025-01-05 15:14
4.4K
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
2025-01-05 15:14
4.1K
gmcapsule-0.9.7-r0.apk
2025-01-08 19:12
36K
gmcapsule-openrc-0.9.7-r0.apk
2025-01-08 19:12
1.9K
gmcapsule-pyc-0.9.7-r0.apk
2025-01-08 19:12
61K
gkrellm-2.3.11-r0.apk
2025-01-08 23:55
353K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 23:55
17K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 23:55
19K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 23:55
379K
gkrellm-server-2.3.11-r0.apk
2025-01-08 23:55
51K
perl-uri-db-0.23-r0.apk
2025-01-09 05:27
11K
perl-uri-db-doc-0.23-r0.apk
2025-01-09 05:27
8.4K
lkrg-0.9.6-r1.apk
2025-01-09 11:44
105K
lkrg-doc-0.9.6-r1.apk
2025-01-09 11:44
22K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 16:06
284K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 10:09
92K
lomiri-settings-components-1.1.2-r0.apk
2025-01-10 10:09
218K
lomiri-settings-components-lang-1.1.2-r0.apk
2025-01-10 10:09
98K
libqofono-0.124-r0.apk
2025-01-10 17:03
1.2K
libqofono-dev-0.124-r0.apk
2025-01-10 17:03
42K
libqofono-qt5-0.124-r0.apk
2025-01-10 17:03
278K
libqofono-qt6-0.124-r0.apk
2025-01-10 17:03
414K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 17:03
68K
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 17:03
149K
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 17:03
2.5M
monopd-0.10.4-r0.apk
2025-01-11 11:13
91K
monopd-openrc-0.10.4-r0.apk
2025-01-11 11:13
1.7K
mdbook-alerts-0.7.0-r0.apk
2025-01-11 12:09
744K
whatsie-4.16.3-r0.apk
2025-01-12 13:59
15M
whatsie-doc-4.16.3-r0.apk
2025-01-12 13:59
2.2K
typstyle-0.12.14-r0.apk
2025-01-12 14:14
505K
elementary-theme-8.1.0-r0.apk
2025-01-12 20:52
1.5M
zutty-0.16-r0.apk
2025-01-12 21:00
149K
zutty-doc-0.16-r0.apk
2025-01-12 21:00
67K
libxo-1.7.5-r0.apk
2025-01-12 22:45
177K
libxo-dev-1.7.5-r0.apk
2025-01-12 22:45
74K
libxo-doc-1.7.5-r0.apk
2025-01-12 22:45
63K
py3-linux-procfs-0.7.3-r0.apk
2025-01-13 21:19
14K
py3-linux-procfs-pyc-0.7.3-r0.apk
2025-01-13 21:19
22K
diceware-1.0.1-r0.apk
2025-01-13 22:49
334K
diceware-pyc-1.0.1-r0.apk
2025-01-13 22:49
18K
tick-1.2.2-r0.apk
2025-01-14 01:26
10K
tick-doc-1.2.2-r0.apk
2025-01-14 01:26
5.5K
aoetools-37-r2.apk
2025-01-14 15:29
21K
aoetools-doc-37-r2.apk
2025-01-14 15:29
14K
highfive-2.10.1-r0.apk
2025-01-15 02:50
75K
libm4ri-20240729-r2.apk
2025-01-15 18:10
120K
libm4ri-dev-20240729-r2.apk
2025-01-15 18:10
32K
libm4ri-static-20240729-r2.apk
2025-01-15 18:10
135K
libm4rie-20200125-r5.apk
2025-01-15 18:10
164K
libm4rie-dev-20200125-r5.apk
2025-01-15 18:10
24K
libm4rie-static-20200125-r5.apk
2025-01-15 18:10
190K
py3-spnego-0.11.2-r0.apk
2025-01-16 07:52
118K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 07:52
219K
piler-1.4.7-r0.apk
2025-01-16 10:17
2.1M
piler-openrc-1.4.7-r0.apk
2025-01-16 10:17
2.2K
perl-libintl-perl-1.35-r0.apk
2025-01-16 13:35
305K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 13:35
571K
perl-dbix-class-0.082844-r0.apk
2025-01-16 17:27
355K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 17:27
421K
nuzzle-1.6-r0.apk
2025-01-17 15:06
12K
nuzzle-doc-1.6-r0.apk
2025-01-17 15:06
3.2K
py3-bibtexparser-1.4.3-r0.apk
2025-01-19 14:15
40K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-19 14:15
49K
py3-isbnlib-3.10.14-r0.apk
2025-01-19 14:15
43K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-19 14:15
67K
trace-cmd-3.3.1-r1.apk
2025-01-19 14:15
164K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-19 14:15
3.3K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-19 14:15
508K
trace-cmd-doc-3.3.1-r1.apk
2025-01-19 14:15
171K
py3-flask-limiter-3.10.1-r0.apk
2025-01-19 16:39
27K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-19 16:39
47K
dcmtk-3.6.9-r0.apk
2025-01-19 16:47
1.3M
dcmtk-dev-3.6.9-r0.apk
2025-01-19 16:47
1.6M
dcmtk-doc-3.6.9-r0.apk
2025-01-19 16:47
257K
dcmtk-openrc-3.6.9-r0.apk
2025-01-19 16:47
1.7K
libdcmtk-3.6.9-r0.apk
2025-01-19 16:47
6.4M
clevis-21-r0.apk
2025-01-20 04:17
51K
clevis-bash-completion-21-r0.apk
2025-01-20 04:17
2.0K
clevis-dbg-21-r0.apk
2025-01-20 04:17
62K
clevis-doc-21-r0.apk
2025-01-20 04:17
23K
tang-15-r0.apk
2025-01-20 04:17
15K
tang-dbg-15-r0.apk
2025-01-20 04:17
32K
tang-doc-15-r0.apk
2025-01-20 04:17
21K
tang-openrc-15-r0.apk
2025-01-20 04:17
1.9K
kodaskanna-0.2.2-r0.apk
2025-01-20 08:16
51K
kodaskanna-lang-0.2.2-r0.apk
2025-01-20 08:16
23K
py3-cdio-2.1.1-r6.apk
2025-01-20 21:15
98K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-20 21:15
43K
gxlimg-0_git20240711-r0.apk
2025-01-21 19:45
21K
vcdimager-2.0.1-r5.apk
2025-01-22 10:33
475K
vcdimager-dev-2.0.1-r5.apk
2025-01-22 10:33
119K
vcdimager-doc-2.0.1-r5.apk
2025-01-22 10:33
74K
py3-milc-1.9.1-r0.apk
2025-01-25 16:04
26K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 16:04
41K
morph-browser-1.1.2-r0.apk
2025-01-26 00:11
541K
morph-browser-lang-1.1.2-r0.apk
2025-01-26 00:11
327K
gcli-2.6.1-r0.apk
2025-01-26 18:14
120K
gcli-doc-2.6.1-r0.apk
2025-01-26 18:14
28K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 20:44
39K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 20:44
2.9K
fastd-23-r0.apk
2025-01-29 16:00
73K
fastd-doc-23-r0.apk
2025-01-29 16:00
3.3K
fastd-openrc-23-r0.apk
2025-01-29 16:00
1.7K
opendht-3.1.11-r0.apk
2025-01-29 16:00
182K
opendht-dev-3.1.11-r0.apk
2025-01-29 16:00
71K
opendht-doc-3.1.11-r0.apk
2025-01-29 16:00
3.0K
opendht-libs-3.1.11-r0.apk
2025-01-29 16:00
573K
py3-ioctl-opt-1.3-r0.apk
2025-01-29 16:00
12K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-29 16:00
4.6K
py3-opendht-3.1.11-r0.apk
2025-01-29 16:00
154K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 16:00
22K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 16:00
12K
katarakt-0.2-r1.apk
2025-01-29 20:02
83K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 20:02
120K
qpdfview-0.5-r2.apk
2025-01-29 20:02
1.0M
qpdfview-doc-0.5-r2.apk
2025-01-29 20:02
4.2K
metadata-cleaner-2.5.6-r0.apk
2025-01-31 15:05
49K
metadata-cleaner-doc-2.5.6-r0.apk
2025-01-31 15:05
1.9M
metadata-cleaner-lang-2.5.6-r0.apk
2025-01-31 15:05
66K
gtk-session-lock-0.2.0-r0.apk
2025-01-31 16:16
35K
gtk-session-lock-dev-0.2.0-r0.apk
2025-01-31 16:16
5.3K
gtklock-4.0.0-r0.apk
2025-01-31 16:16
18K
gtklock-doc-4.0.0-r0.apk
2025-01-31 16:16
3.0K
fuzzylite-6.0-r2.apk
2025-01-31 21:53
4.1K
fuzzylite-dev-6.0-r2.apk
2025-01-31 21:53
68K
fuzzylite-doc-6.0-r2.apk
2025-01-31 21:53
2.1K
fuzzylite-libs-6.0-r2.apk
2025-01-31 21:53
345K
rosenpass-0.2.2-r1.apk
2025-02-01 18:23
1.0M
svgbob-0.7.6-r0.apk
2025-02-02 00:32
452K
ttyper-1.6.0-r0.apk
2025-02-05 21:45
596K
mint-y-icons-1.8.3-r0.apk
2025-02-05 22:22
72M
mint-y-icons-doc-1.8.3-r0.apk
2025-02-05 22:22
11K
winetricks-20250102-r0.apk
2025-02-05 22:22
172K
winetricks-bash-completion-20250102-r0.apk
2025-02-05 22:22
8.6K
winetricks-doc-20250102-r0.apk
2025-02-05 22:22
3.7K
gamemode-1.8.2-r0.apk
2025-02-05 23:30
71K
gamemode-dev-1.8.2-r0.apk
2025-02-05 23:30
5.1K
gamemode-doc-1.8.2-r0.apk
2025-02-05 23:30
7.5K
libnest2d-0.4-r7.apk
2025-02-06 04:45
1.2K
libnest2d-dev-0.4-r7.apk
2025-02-06 04:45
70K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 04:45
246K
binwalk-3.1.0-r0.apk
2025-02-07 15:46
1.0M
kpatch-0.9.10-r0.apk
2025-02-07 22:29
129K
kpatch-doc-0.9.10-r0.apk
2025-02-07 22:29
3.4K
aptdec-1.8.0-r1.apk
2025-02-08 23:48
85K
aptdec-dev-1.8.0-r1.apk
2025-02-08 23:48
3.4K
aptdec-libs-1.8.0-r1.apk
2025-02-08 23:48
15K
cm256cc-1.1.1-r1.apk
2025-02-08 23:48
9.3K
cm256cc-dev-1.1.1-r1.apk
2025-02-08 23:48
15K
sc3-plugins-3.13.0-r2.apk
2025-02-08 23:48
9.9M
serialdv-1.1.4-r1.apk
2025-02-08 23:48
6.5K
serialdv-dev-1.1.4-r1.apk
2025-02-08 23:48
5.1K
serialdv-libs-1.1.4-r1.apk
2025-02-08 23:48
65K
supercollider-3.13.0-r6.apk
2025-02-08 23:48
7.7M
supercollider-dev-3.13.0-r6.apk
2025-02-08 23:48
39K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 15:13
12K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 15:13
7.1K
barrier-2.4.0-r2.apk
2025-02-22 14:38
939K
barrier-doc-2.4.0-r2.apk
2025-02-22 14:38
13K
bochs-2.8-r1.apk
2025-02-22 14:38
920K
bochs-doc-2.8-r1.apk
2025-02-22 14:38
139K
click-0.5.2-r4.apk
2025-02-22 14:38
157K
click-dev-0.5.2-r4.apk
2025-02-22 14:38
9.1K
click-doc-0.5.2-r4.apk
2025-02-22 14:38
3.3K
click-pyc-0.5.2-r4.apk
2025-02-22 14:38
175K
dhewm3-1.5.4-r0.apk
2025-02-22 14:38
5.0M
draco-1.5.7-r2.apk
2025-02-22 14:38
817K
draco-dev-1.5.7-r2.apk
2025-02-22 14:38
205K
draco-static-1.5.7-r2.apk
2025-02-22 14:38
1.4M
draco-tools-1.5.7-r2.apk
2025-02-22 14:38
1.2M
flann-1.9.2-r1.apk
2025-02-22 14:38
1.7M
flann-dev-1.9.2-r1.apk
2025-02-22 14:38
963K
flann-doc-1.9.2-r1.apk
2025-02-22 14:38
2.5K
fluent-bit-3.1.10-r1.apk
2025-02-22 14:38
5.7M
fluent-bit-dev-3.1.10-r1.apk
2025-02-22 14:38
115K
fluent-bit-openrc-3.1.10-r1.apk
2025-02-22 14:38
1.6K
gmenuharness-0.1.4-r2.apk
2025-02-22 14:38
37K
gmenuharness-dev-0.1.4-r2.apk
2025-02-22 14:38
4.1K
gstreamermm-1.10.0-r6.apk
2025-02-22 14:38
464K
gstreamermm-dev-1.10.0-r6.apk
2025-02-22 14:38
310K
kimchi-3.0.0-r8.apk
2025-02-22 14:38
529K
kimchi-lang-3.0.0-r8.apk
2025-02-22 14:38
172K
kimchi-pyc-3.0.0-r8.apk
2025-02-22 14:38
476K
libqtdbusmock-0.9.1-r2.apk
2025-02-22 14:39
67K
libxml++-5.4.0-r0.apk
2025-02-22 14:39
63K
libxml++-dev-5.4.0-r0.apk
2025-02-22 14:39
29K
litehtml-0.9-r2.apk
2025-02-22 14:39
320K
litehtml-dev-0.9-r2.apk
2025-02-22 14:39
42K
litehtml-static-0.9-r2.apk
2025-02-22 14:39
511K
log4cxx-1.1.0-r3.apk
2025-02-22 14:39
505K
log4cxx-dev-1.1.0-r3.apk
2025-02-22 14:39
135K
lomiri-api-0.2.2-r1.apk
2025-02-22 14:39
32K
lomiri-api-dev-0.2.2-r1.apk
2025-02-22 14:39
32K
lomiri-libusermetrics-1.3.3-r1.apk
2025-02-22 14:39
162K
lomiri-libusermetrics-dev-1.3.3-r1.apk
2025-02-22 14:39
7.8K
lomiri-libusermetrics-doc-1.3.3-r1.apk
2025-02-22 14:39
228K
lomiri-libusermetrics-lang-1.3.3-r1.apk
2025-02-22 14:39
43K
openjdk21-mandrel-23.1.6.0-r0.apk
2025-02-22 14:39
23M
openjdk22-22.0.2_p9-r3.apk
2025-02-22 14:39
1.5K
openjdk22-demos-22.0.2_p9-r3.apk
2025-02-22 14:39
5.2M
openjdk22-doc-22.0.2_p9-r3.apk
2025-02-22 14:39
187K
openjdk22-jdk-22.0.2_p9-r3.apk
2025-02-22 14:39
6.6M
openjdk22-jmods-22.0.2_p9-r3.apk
2025-02-22 14:39
77M
openjdk22-jre-22.0.2_p9-r3.apk
2025-02-22 14:39
1.0M
openjdk22-jre-headless-22.0.2_p9-r3.apk
2025-02-22 14:39
62M
openjdk22-src-22.0.2_p9-r3.apk
2025-02-22 14:39
48M
openjdk22-static-libs-22.0.2_p9-r3.apk
2025-02-22 14:39
20M
openjdk23-23.0.2_p7-r1.apk
2025-02-22 14:39
1.5K
openjdk23-demos-23.0.2_p7-r1.apk
2025-02-22 14:39
5.2M
openjdk23-doc-23.0.2_p7-r1.apk
2025-02-22 14:39
188K
openjdk23-jdk-23.0.2_p7-r1.apk
2025-02-22 14:39
6.7M
openjdk23-jmods-23.0.2_p7-r1.apk
2025-02-22 14:39
81M
openjdk23-jre-23.0.2_p7-r1.apk
2025-02-22 14:39
1.0M
openjdk23-jre-headless-23.0.2_p7-r1.apk
2025-02-22 14:39
66M
openjdk23-src-23.0.2_p7-r1.apk
2025-02-22 14:39
48M
openjdk23-static-libs-23.0.2_p7-r1.apk
2025-02-22 14:39
20M
openvpn3-3.8.5-r1.apk
2025-02-22 14:39
382K
openvpn3-dev-3.8.5-r1.apk
2025-02-22 14:39
667K
pcl-1.14.1-r0.apk
2025-02-22 14:39
481K
pcl-dev-1.14.1-r0.apk
2025-02-22 14:39
387K
pcl-libs-1.14.1-r0.apk
2025-02-22 14:39
1.3M
pcsx2-1.7.4819-r5.apk
2025-02-22 14:39
11M
py3-marshmallow-3.26.1-r0.apk
2025-02-22 14:39
48K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-22 14:39
85K
py3-pymsteams-0.2.5-r0.apk
2025-02-22 14:39
12K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-22 14:39
6.5K
py3-pypandoc-1.15-r0.apk
2025-02-22 14:39
21K
py3-pypandoc-pyc-1.15-r0.apk
2025-02-22 14:39
23K
py3-pytest-regtest-2.3.2-r1.apk
2025-02-22 14:39
16K
py3-pytest-regtest-pyc-2.3.2-r1.apk
2025-02-22 14:39
30K
py3-sphinx-autoapi-3.6.0-r0.apk
2025-02-22 14:39
31K
py3-sphinx-autoapi-pyc-3.6.0-r0.apk
2025-02-22 14:39
59K
py3-truststore-0.10.1-r0.apk
2025-02-22 14:39
17K
py3-truststore-pyc-0.10.1-r0.apk
2025-02-22 14:39
26K
qtile-0.30.0-r0.apk
2025-02-22 14:39
436K
qtile-pyc-0.30.0-r0.apk
2025-02-22 14:39
837K
repowerd-2023.07-r3.apk
2025-02-22 14:39
854K
repowerd-openrc-2023.07-r3.apk
2025-02-22 14:39
1.7K
rsstail-2.2-r0.apk
2025-02-22 14:39
8.7K
rsstail-doc-2.2-r0.apk
2025-02-22 14:39
2.8K
sauerbraten-2020.12.29-r4.apk
2025-02-22 14:39
934M
solarus-quest-editor-1.7.0-r1.apk
2025-02-22 14:39
55M
azpainter-3.0.11-r0.apk
2025-02-22 15:08
802K
azpainter-doc-3.0.11-r0.apk
2025-02-22 15:08
42K
kirc-0.3.3-r0.apk
2025-02-22 15:08
15K
kirc-doc-0.3.3-r0.apk
2025-02-22 15:08
2.8K
ueberzug-18.3.1-r0.apk
2025-02-22 15:08
64K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 15:08
64K
rdrview-0.1.3-r0.apk
2025-02-22 19:30
28K
rdrview-doc-0.1.3-r0.apk
2025-02-22 19:30
3.7K
ab-tidy-0.1.0-r2.apk
2025-02-23 20:55
80K
recyclarr-7.4.1-r0.apk
2025-02-24 11:55
2.6M
recyclarr-doc-7.4.1-r0.apk
2025-02-24 11:55
2.2K
porla-0.41.0-r2.apk
2025-02-24 17:12
3.7M
porla-doc-0.41.0-r2.apk
2025-02-24 17:12
2.2K
porla-openrc-0.41.0-r2.apk
2025-02-24 17:12
2.7K
php81-pecl-memprof-3.1.0-r0.apk
2025-02-24 17:23
13K
php84-pecl-memprof-3.1.0-r0.apk
2025-02-24 17:23
13K
ecasound-2.9.3-r4.apk
2025-02-24 21:28
674K
ecasound-dev-2.9.3-r4.apk
2025-02-24 21:28
1.1M
ecasound-doc-2.9.3-r4.apk
2025-02-24 21:28
38K
shntool-3.0.10-r5.apk
2025-02-24 21:28
57K
shntool-doc-3.0.10-r5.apk
2025-02-24 21:28
10K
fileshelter-6.2.0-r3.apk
2025-02-25 06:38
308K
fileshelter-openrc-6.2.0-r3.apk
2025-02-25 06:38
1.6K
xa-2.4.1-r0.apk
2025-02-25 12:36
74K
xa-doc-2.4.1-r0.apk
2025-02-25 12:36
17K
belcard-5.3.105-r0.apk
2025-02-25 12:48
12K
belcard-dev-5.3.105-r0.apk
2025-02-25 12:48
11K
belcard-libs-5.3.105-r0.apk
2025-02-25 12:48
207K
belle-sip-5.3.105-r0.apk
2025-02-25 12:51
628K
belle-sip-dev-5.3.105-r0.apk
2025-02-25 12:51
54K
belr-5.3.105-r0.apk
2025-02-25 12:52
107K
belr-dev-5.3.105-r0.apk
2025-02-25 12:52
15K
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 16:30
9.8K
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 16:30
9.7K
spampd-2.62-r0.apk
2025-02-25 22:31
39K
spampd-openrc-2.62-r0.apk
2025-02-25 22:31
2.0K
wayfire-0.9.0-r0.apk
2025-02-27 20:17
2.4M
wayfire-dev-0.9.0-r0.apk
2025-02-27 20:17
129K
wayfire-doc-0.9.0-r0.apk
2025-02-27 20:17
3.6K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 20:17
544K
wcm-0.9.0-r0.apk
2025-02-27 20:17
366K
wf-config-0.9.0-r0.apk
2025-02-27 20:17
106K
wf-config-dev-0.9.0-r0.apk
2025-02-27 20:17
16K
wf-shell-0.9.0-r0.apk
2025-02-27 20:17
6.1M
wf-shell-dev-0.9.0-r0.apk
2025-02-27 20:17
1.7K
wf-shell-doc-0.9.0-r0.apk
2025-02-27 20:17
3.1K
mimedefang-3.6-r0.apk
2025-03-02 12:15
154K
mimedefang-doc-3.6-r0.apk
2025-03-02 12:15
80K
spotify-player-0.20.4-r0.apk
2025-03-02 15:55
4.4M
cargo-crev-0.26.3-r0.apk
2025-03-02 16:12
6.0M
kodi-inputstream-adaptive-21.5.9-r0.apk
2025-03-02 16:15
1.0M
Kodi
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
2025-03-02 16:15
347K
Kodi
kodi-inputstream-rtmp-21.1.2-r0.apk
2025-03-02 16:15
87K
Kodi
kodi-pvr-hts-21.2.6-r0.apk
2025-03-02 16:15
298K
Kodi
kodi-pvr-iptvsimple-21.10.2-r0.apk
2025-03-02 16:15
926K
Kodi
kodi-vfs-libarchive-21.0.2-r0.apk
2025-03-02 16:15
111K
Kodi
yices2-2.6.5-r0.apk
2025-03-02 23:05
2.2M
yices2-dev-2.6.5-r0.apk
2025-03-02 23:05
41K
yices2-libs-2.6.5-r0.apk
2025-03-02 23:05
840K
libuecc-7-r4.apk
2025-03-03 16:06
9.2K
libuecc-dev-7-r4.apk
2025-03-03 16:06
4.6K
stockfish-17-r0.apk
2025-03-03 22:40
62M
vim-nerdtree-7.1.3-r0.apk
2025-03-04 21:04
67K
flightgear-2024.1.1-r0.apk
2025-03-05 00:17
11M
flightgear-bash-completion-2024.1.1-r0.apk
2025-03-05 00:17
5.5K
flightgear-dbg-2024.1.1-r0.apk
2025-03-05 00:17
22M
flightgear-doc-2024.1.1-r0.apk
2025-03-05 00:17
58K
flightgear-zsh-completion-2024.1.1-r0.apk
2025-03-05 00:17
7.3K
simgear-2024.1.1-r0.apk
2025-03-05 00:17
2.2M
simgear-dev-2024.1.1-r0.apk
2025-03-05 00:17
404K
cvise-2.11.0-r0.apk
2025-03-11 11:18
5.2M
cvise-pyc-2.11.0-r0.apk
2025-03-11 11:18
60K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-11 11:19
11K
pop-launcher-1.2.4-r0.apk
2025-03-11 11:19
2.5M
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-11 11:19
10K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-11 11:19
16K
ruff-lsp-0.0.62-r0.apk
2025-03-11 11:19
21K
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-11 11:19
35K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-11 11:19
99K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-11 11:19
5.1K
lomiri-weather-app-6.0.2-r0.apk
2025-03-11 12:02
299K
lomiri-weather-app-lang-6.0.2-r0.apk
2025-03-11 12:02
214K
futhark-0.25.28-r0.apk
2025-03-12 01:07
21M
jotdown-0.7.0-r0.apk
2025-03-12 01:07
223K
libzvbi-0.2.44-r0.apk
2025-03-12 01:07
217K
libzvbi-dev-0.2.44-r0.apk
2025-03-12 01:07
14K
libzvbi-static-0.2.44-r0.apk
2025-03-12 01:07
280K
zvbi-0.2.44-r0.apk
2025-03-12 01:07
170K
zvbi-doc-0.2.44-r0.apk
2025-03-12 01:07
21K
simp1e-cursors-0_git20250312-r0.apk
2025-03-13 12:27
447K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-13 12:27
478K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-13 12:27
482K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
485K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-13 12:27
483K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-13 12:27
504K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-13 12:27
520K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
526K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-13 12:27
509K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-13 12:27
535K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-13 12:27
547K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-13 12:27
528K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-13 12:27
537K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-13 12:27
533K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-13 12:27
544K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-13 12:27
530K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-13 12:27
540K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-13 12:27
484K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
490K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-13 12:27
14K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-13 12:27
525K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
537K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-13 12:27
517K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-13 12:27
527K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-13 12:27
449K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-13 12:27
487K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
492K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-13 12:27
450K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-13 12:27
451K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-13 12:27
536K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
549K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-13 12:27
518K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-13 12:27
525K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-13 12:27
533K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-13 12:27
538K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-13 12:27
551K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-13 12:27
545K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-13 12:27
538K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-13 12:27
550K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-13 12:27
524K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
535K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-13 12:27
531K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-13 12:27
542K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-13 12:27
530K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-13 12:27
541K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-13 12:27
520K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-13 12:27
527K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-13 12:27
534K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-13 12:27
546K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-13 12:27
529K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-13 12:27
540K
yoshimi-2.3.3.3-r0.apk
2025-03-13 22:33
6.1M
yoshimi-doc-2.3.3.3-r0.apk
2025-03-13 22:33
4.5M
zed-0.138.4-r5.apk
2025-03-13 23:56
33M
gsettings-qt-1.0.0-r0.apk
2025-03-14 17:12
27K
gsettings-qt-dev-1.0.0-r0.apk
2025-03-14 17:12
3.5K
i3bar-river-1.1.0-r0.apk
2025-03-14 17:12
522K
lldap-0.6.1-r0.apk
2025-03-14 17:12
9.9M
perl-cgi-struct-1.21-r0.apk
2025-03-14 17:12
7.8K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-14 17:12
6.8K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-14 17:12
5.1K
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-14 17:12
4.7K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-15 14:08
7.7K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-15 14:08
24K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-15 14:08
4.0K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-15 14:08
4.1K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-15 14:08
3.0K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-15 14:08
3.6K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-16 04:03
4.1K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-16 04:03
4.2K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-16 04:03
5.4K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-16 04:03
5.3K
perl-moosex-getopt-0.78-r0.apk
2025-03-16 04:03
14K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-16 04:03
25K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-16 04:03
3.8K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-16 04:03
4.2K
planarity-4.0.0.0-r0.apk
2025-03-16 10:06
22K
planarity-dev-4.0.0.0-r0.apk
2025-03-16 10:06
23K
planarity-doc-4.0.0.0-r0.apk
2025-03-16 10:06
13K
planarity-libs-4.0.0.0-r0.apk
2025-03-16 10:06
71K
ampy-1.1.0-r6.apk
2025-03-21 16:20
15K
ampy-doc-1.1.0-r6.apk
2025-03-21 16:20
4.1K
ampy-pyc-1.1.0-r6.apk
2025-03-21 16:20
19K
cataclysm-dda-0h-r0.apk
2025-03-21 16:20
19M
cataclysm-dda-curses-0h-r0.apk
2025-03-21 16:20
12M
cataclysm-dda-doc-0h-r0.apk
2025-03-21 16:20
4.6K
cataclysm-dda-lang-0h-r0.apk
2025-03-21 16:20
38M
cataclysm-dda-tiles-0h-r0.apk
2025-03-21 16:20
49M
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-21 16:20
19K
gossip-0.14.0-r0.apk
2025-03-21 16:21
28M
gossip-doc-0.14.0-r0.apk
2025-03-21 16:21
22K
hpnssh-18.6.2-r0.apk
2025-03-21 16:21
2.4M
hpnssh-doc-18.6.2-r0.apk
2025-03-21 16:21
99K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-21 16:21
3.8K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-21 16:21
4.0K
perl-catalyst-devel-1.42-r0.apk
2025-03-21 16:21
54K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-21 16:21
11K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-21 16:21
5.4K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-21 16:21
10K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-21 16:21
8.7K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-21 16:21
7.4K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-21 16:21
150K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-21 16:21
216K
perl-color-rgb-util-0.609-r0.apk
2025-03-21 16:21
9.5K
perl-color-rgb-util-doc-0.609-r0.apk
2025-03-21 16:21
7.4K
perl-data-visitor-0.32-r0.apk
2025-03-21 16:21
9.8K
perl-data-visitor-doc-0.32-r0.apk
2025-03-21 16:21
8.3K
perl-file-changenotify-0.31-r0.apk
2025-03-21 16:21
12K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-21 16:21
14K
perl-json-validator-5.15-r0.apk
2025-03-21 16:21
58K
perl-json-validator-doc-5.15-r0.apk
2025-03-21 16:21
34K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-21 16:21
28K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-21 16:21
33K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-21 16:21
8.6K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-21 16:21
22K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-21 16:21
3.6K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-21 16:21
4.0K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-21 16:21
2.8K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-21 16:21
3.1K
perl-text-simpletable-2.07-r0.apk
2025-03-21 16:21
4.5K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-21 16:21
3.5K
perl-tie-toobject-0.03-r0.apk
2025-03-21 16:21
2.6K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-21 16:21
3.2K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-21 16:21
20K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-21 16:21
46K
perl-uri-ws-0.03-r0.apk
2025-03-21 16:21
2.3K
perl-uri-ws-doc-0.03-r0.apk
2025-03-21 16:21
4.3K
py3-agithub-2.2.2-r7.apk
2025-03-21 16:21
19K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-21 16:21
21K
py3-mistletoe-1.4.0-r0.apk
2025-03-21 16:21
45K
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-21 16:21
93K
repo-2.53-r0.apk
2025-03-21 16:21
17K
repo-doc-2.53-r0.apk
2025-03-21 16:21
38K
sentrypeer-4.0.4-r0.apk
2025-03-21 16:21
1.9M
sentrypeer-doc-4.0.4-r0.apk
2025-03-21 16:21
3.3K
tanidvr-1.4.1-r2.apk
2025-03-21 16:21
21K
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-21 16:21
11K
cocogitto-6.3.0-r0.apk
2025-03-21 19:28
1.8M
cocogitto-bash-completion-6.3.0-r0.apk
2025-03-21 19:28
3.0K
cocogitto-doc-6.3.0-r0.apk
2025-03-21 19:28
38K
cocogitto-fish-completion-6.3.0-r0.apk
2025-03-21 19:28
3.3K
cocogitto-zsh-completion-6.3.0-r0.apk
2025-03-21 19:28
3.0K
haxe-4.3.3-r1.apk
2025-03-22 14:35
10M
haxe-doc-4.3.3-r1.apk
2025-03-22 14:35
7.7K
perl-uri-find-20160806-r0.apk
2025-03-23 07:58
14K
perl-uri-find-doc-20160806-r0.apk
2025-03-23 07:58
9.1K
cargo-update-16.2.1-r0.apk
2025-03-24 04:33
1.1M
cargo-update-doc-16.2.1-r0.apk
2025-03-24 04:33
8.3K
efl-1.28.1-r2.apk
2025-03-24 04:33
34M
efl-dev-1.28.1-r2.apk
2025-03-24 04:33
1.8M
efl-gdb-1.28.1-r2.apk
2025-03-24 04:33
1.7K
tealdeer-1.7.2-r0.apk
2025-03-24 04:33
877K
tealdeer-bash-completion-1.7.2-r0.apk
2025-03-24 04:33
2.0K
tealdeer-fish-completion-1.7.2-r0.apk
2025-03-24 04:33
2.2K
tealdeer-zsh-completion-1.7.2-r0.apk
2025-03-24 04:33
2.3K
sdl3_image-3.2.4-r0.apk
2025-03-24 20:49
75K
sdl3_image-dev-3.2.4-r0.apk
2025-03-24 20:49
12K
sdl3_image-doc-3.2.4-r0.apk
2025-03-24 20:49
2.1K
pop-cursor-theme-3.5.1-r0.apk
2025-03-25 07:55
13M
pop-icon-theme-3.5.1-r0.apk
2025-03-25 07:55
1.3M
lgogdownloader-3.16-r1.apk
2025-03-25 15:13
358K
lgogdownloader-doc-3.16-r1.apk
2025-03-25 15:13
8.5K
trigger-rally-0.6.7-r3.apk
2025-03-25 15:13
302K
trigger-rally-data-0.6.7-r3.apk
2025-03-25 15:13
352M
trigger-rally-doc-0.6.7-r3.apk
2025-03-25 15:13
28K
leptosfmt-0.1.33-r0.apk
2025-03-25 15:30
1.0M
leptosfmt-doc-0.1.33-r0.apk
2025-03-25 15:30
6.0K
cbqn-0.9.0-r0.apk
2025-03-25 15:33
656K
taskwarrior-tui-0.26.3-r0.apk
2025-03-26 10:24
1.2M
taskwarrior-tui-bash-completion-0.26.3-r0.apk
2025-03-26 10:24
2.0K
taskwarrior-tui-doc-0.26.3-r0.apk
2025-03-26 10:24
3.9K
taskwarrior-tui-fish-completion-0.26.3-r0.apk
2025-03-26 10:24
1.7K
cherrytree-1.4.0-r0.apk
2025-03-26 17:40
2.7M
cherrytree-doc-1.4.0-r0.apk
2025-03-26 17:40
2.1K
cherrytree-lang-1.4.0-r0.apk
2025-03-26 17:40
859K
php81-pecl-swoole-6.0.2-r0.apk
2025-03-26 18:30
881K
php81-pecl-swoole-dev-6.0.2-r0.apk
2025-03-26 18:30
213K
sc-controller-0.5.1-r0.apk
2025-03-26 22:43
1.3M
sc-controller-pyc-0.5.1-r0.apk
2025-03-26 22:43
813K
gpscorrelate-2.3-r0.apk
2025-03-27 06:36
51K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 06:36
26K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 06:36
285K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 06:36
17K
php81-pecl-redis-6.2.0-r0.apk
2025-03-27 10:58
188K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 11:06
189K
brltty-6.7-r1.apk
2025-04-03 00:11
2.1M
brltty-dev-6.7-r1.apk
2025-04-03 00:11
140K
brltty-doc-6.7-r1.apk
2025-04-03 00:11
9.4K
brltty-lang-6.7-r1.apk
2025-04-03 00:11
149K
brltty-static-6.7-r1.apk
2025-04-03 00:11
24K
cemu-2.5-r0.apk
2025-04-03 00:11
20M
cemu-lang-2.5-r0.apk
2025-04-03 00:11
432K
dum-0.1.20-r1.apk
2025-04-03 00:11
347K
hfst-3.16.2-r0.apk
2025-04-03 00:11
1.4M
hfst-dev-3.16.2-r0.apk
2025-04-03 00:11
209K
hfst-doc-3.16.2-r0.apk
2025-04-03 00:11
70K
hfst-libs-3.16.2-r0.apk
2025-04-03 00:11
1.9M
kotlin-language-server-1.3.13-r0.apk
2025-04-03 00:11
83M
perl-catalyst-action-rest-1.21-r0.apk
2025-04-03 00:11
25K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-04-03 00:11
27K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-04-03 00:11
32K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-04-03 00:11
56K
perl-string-escape-2010.002-r0.apk
2025-04-03 00:11
8.9K
perl-string-escape-doc-2010.002-r0.apk
2025-04-03 00:11
7.8K
py3-hfst-3.16.2-r0.apk
2025-04-03 00:11
358K
tauri-cli-2.4.0-r0.apk
2025-04-03 00:12
7.3M
identme-0.6.0-r0.apk
2025-04-03 12:33
46K
3proxy-0.9.4-r2.apk
2025-04-04 06:07
342K
3proxy-doc-0.9.4-r2.apk
2025-04-04 06:07
25K
3proxy-openrc-0.9.4-r2.apk
2025-04-04 06:07
1.6K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-04 06:07
8.6K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-04 06:07
6.8K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-04 06:07
5.0K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-04 06:07
4.4K
perl-catalyst-manual-5.9013-r0.apk
2025-04-04 06:07
2.7K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-04 06:07
375K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-04 11:29
4.1K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-04 11:29
13K
perl-catalyst-view-email-0.36-r0.apk
2025-04-04 11:29
9.1K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-04 11:29
11K
perl-email-sender-2.601-r0.apk
2025-04-04 11:29
25K
perl-email-sender-doc-2.601-r0.apk
2025-04-04 11:29
42K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-04 11:56
14K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-04 11:56
25K
perl-object-signature-1.08-r0.apk
2025-04-04 11:56
3.7K
perl-object-signature-doc-1.08-r0.apk
2025-04-04 11:56
5.4K
ircd-hybrid-8.2.47-r0.apk
2025-04-05 03:59
332K
ircd-hybrid-doc-8.2.47-r0.apk
2025-04-05 03:59
3.6K
wabt-1.0.37-r0.apk
2025-04-06 21:41
4.0M
wabt-doc-1.0.37-r0.apk
2025-04-06 21:41
13K
gambit-4.9.5-r1.apk
2025-04-07 08:30
10M
gambit-dev-4.9.5-r1.apk
2025-04-07 08:30
6.7M
gambit-doc-4.9.5-r1.apk
2025-04-07 08:30
4.3K
welle-cli-2.7-r0.apk
2025-04-07 19:40
305K
welle-io-2.7-r0.apk
2025-04-07 19:40
401K
welle-io-doc-2.7-r0.apk
2025-04-07 19:40
4.0K
gnome-latex-3.48.0-r0.apk
2025-04-07 22:01
364K
gnome-latex-doc-3.48.0-r0.apk
2025-04-07 22:01
108K
gnome-latex-lang-3.48.0-r0.apk
2025-04-07 22:01
530K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 09:13
9.9K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 09:13
6.7K
orage-4.20.1-r0.apk
2025-04-08 11:51
580K
orage-lang-4.20.1-r0.apk
2025-04-08 11:51
1.2M
gr-satellites-5.5.0-r5.apk
2025-04-09 02:05
496K
gr-satellites-dev-5.5.0-r5.apk
2025-04-09 02:05
13K
gr-satellites-doc-5.5.0-r5.apk
2025-04-09 02:05
4.5K
php82-snappy-0.2.3-r0.apk
2025-04-10 12:16
5.0K
php84-snappy-0.2.3-r0.apk
2025-04-10 12:16
5.0K
pfetch-1.9.0-r0.apk
2025-04-10 13:52
23K
pfetch-doc-1.9.0-r0.apk
2025-04-10 13:52
5.7K
solanum-6.0.0-r0.apk
2025-04-10 13:52
249K
solanum-lang-6.0.0-r0.apk
2025-04-10 13:52
47K
decoder-0.7.0-r0.apk
2025-04-10 14:09
1.9M
decoder-lang-0.7.0-r0.apk
2025-04-10 14:09
59K
hatch-1.14.1-r0.apk
2025-04-10 14:09
102K
hatch-pyc-1.14.1-r0.apk
2025-04-10 14:09
216K
mingw-w64-libusb-1.0.28-r0.apk
2025-04-10 14:09
216K
php81-pecl-imagick-3.8.0-r0.apk
2025-04-10 20:02
102K
php81-pecl-imagick-dev-3.8.0-r0.apk
2025-04-10 20:02
2.3K
libretro-flycast-0_git20220406-r3.apk
2025-04-10 21:03
1.5M
libretro-ppsspp-0_git20210516-r15.apk
2025-04-10 21:03
2.3M
srb2-2.2.15-r1.apk
2025-04-10 21:03
1.8M
srb2-data-2.2.15-r1.apk
2025-04-10 21:03
160M
warzone2100-4.5.5-r3.apk
2025-04-10 21:03
6.5M
warzone2100-data-4.5.5-r3.apk
2025-04-10 21:03
328M
warzone2100-doc-4.5.5-r3.apk
2025-04-10 21:03
1.5M
warzone2100-lang-4.5.5-r3.apk
2025-04-10 21:03
2.6M
py3-unearth-0.17.5-r0.apk
2025-04-11 05:33
40K
py3-unearth-pyc-0.17.5-r0.apk
2025-04-11 05:33
82K
lomiri-action-api-1.2.0-r0.apk
2025-04-11 05:47
77K
lomiri-action-api-dev-1.2.0-r0.apk
2025-04-11 05:47
5.0K
lomiri-ui-extras-0.7.0-r0.apk
2025-04-11 05:47
251K
lomiri-ui-extras-lang-0.7.0-r0.apk
2025-04-11 05:47
52K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-13 21:31
410K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-13 21:31
2.3K
font-aref-ruqaa-1.006-r0.apk
2025-04-13 21:31
357K
nfoview-2.1-r0.apk
2025-04-13 21:31
39K
nfoview-doc-2.1-r0.apk
2025-04-13 21:31
8.0K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-13 21:31
9.0K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-13 21:31
7.8K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-13 21:31
6.5K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-13 21:31
12K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-13 21:31
14K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-13 21:31
13K
perl-template-timer-1.00-r0.apk
2025-04-13 21:31
3.4K
perl-template-timer-doc-1.00-r0.apk
2025-04-13 21:31
3.7K
perl-test-www-mechanize-1.60-r0.apk
2025-04-13 21:31
15K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-13 21:31
10K
py3-dash-2.18.2-r0.apk
2025-04-13 21:31
7.4M
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-13 21:31
16K
py3-doi-0.2-r0.apk
2025-04-13 21:31
6.2K
py3-doi-pyc-0.2-r0.apk
2025-04-13 21:31
4.7K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-13 21:31
209K
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-13 21:31
2.0K
supersonik-0.1.0-r2.apk
2025-04-13 21:32
1.0M
usbtop-1.0-r0.apk
2025-04-13 21:32
13K
sqruff-0.25.26-r0.apk
2025-04-13 21:40
2.2M
sqruff-doc-0.25.26-r0.apk
2025-04-13 21:40
8.6K
lomiri-content-hub-2.1.0-r0.apk
2025-04-13 22:06
276K
lomiri-content-hub-dev-2.1.0-r0.apk
2025-04-13 22:06
11K
lomiri-content-hub-doc-2.1.0-r0.apk
2025-04-13 22:06
1.4M
lomiri-content-hub-lang-2.1.0-r0.apk
2025-04-13 22:06
47K
libresprite-1.2-r0.apk
2025-04-13 22:15
15M
libresprite-doc-1.2-r0.apk
2025-04-13 22:15
15K
dprint-0.49.1-r0.apk
2025-04-13 22:35
4.1M
dprint-bash-completion-0.49.1-r0.apk
2025-04-13 22:35
3.2K
dprint-doc-0.49.1-r0.apk
2025-04-13 22:35
3.2K
dprint-fish-completion-0.49.1-r0.apk
2025-04-13 22:35
3.8K
dprint-zsh-completion-0.49.1-r0.apk
2025-04-13 22:35
4.1K
cpplint-2.0.2-r0.apk
2025-04-13 23:26
80K
cpplint-pyc-2.0.2-r0.apk
2025-04-13 23:26
99K
lomiri-download-manager-0.2.1-r0.apk
2025-04-13 23:26
578K
lomiri-download-manager-dev-0.2.1-r0.apk
2025-04-13 23:26
17K
lomiri-download-manager-doc-0.2.1-r0.apk
2025-04-13 23:26
3.4M
lomiri-download-manager-lang-0.2.1-r0.apk
2025-04-13 23:26
30K
py3-ecbdata-0.1.1-r0.apk
2025-04-13 23:31
13K
zapzap-6.0.1.8-r0.apk
2025-04-13 23:48
109K
zapzap-pyc-6.0.1.8-r0.apk
2025-04-13 23:48
126K
fuseiso-20070708-r0.apk
2025-04-14 01:40
15K
fuseiso-doc-20070708-r0.apk
2025-04-14 01:40
2.6K
capnet-assist-8.0.0-r0.apk
2025-04-14 10:10
44K
capnet-assist-lang-8.0.0-r0.apk
2025-04-14 10:10
37K
zita-resampler-1.11.2-r0.apk
2025-04-14 21:16
18K
zita-resampler-dev-1.11.2-r0.apk
2025-04-14 21:16
3.3K
zita-resampler-doc-1.11.2-r0.apk
2025-04-14 21:16
4.0K
yarn-berry-4.9.1-r0.apk
2025-04-15 22:37
1.0M
py3-pysonic-1.0.3-r0.apk
2025-04-16 22:35
35K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-16 22:35
32K
bazel7-7.6.1-r1.apk
2025-04-18 05:47
30M
bazel7-bash-completion-7.6.1-r1.apk
2025-04-18 05:47
48K
powerctl-1.1-r6.apk
2025-04-18 16:34
90K
powerctl-doc-1.1-r6.apk
2025-04-18 16:34
3.2K
py3-plotly-5.24.1-r2.apk
2025-04-18 22:14
20M
way-secure-0.2.0-r0.apk
2025-04-18 22:14
196K
way-secure-doc-0.2.0-r0.apk
2025-04-18 22:14
3.0K
perl-test-file-1.995-r0.apk
2025-04-19 17:54
11K
perl-test-file-doc-1.995-r0.apk
2025-04-19 17:54
6.8K
perl-expect-1.38-r0.apk
2025-04-19 19:02
32K
perl-expect-doc-1.38-r0.apk
2025-04-19 19:02
20K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 04:32
4.9K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 04:32
4.8K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 04:32
7.4K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 04:32
6.2K
perl-expect-simple-0.04-r0.apk
2025-04-20 04:38
5.5K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 04:38
4.9K
heisenbridge-1.15.3-r0.apk
2025-04-20 05:14
67K
heisenbridge-pyc-1.15.3-r0.apk
2025-04-20 05:14
155K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-04-21 17:33
6.0K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-04-21 17:33
5.5K
perl-test-expect-0.34-r0.apk
2025-04-21 17:35
3.5K
perl-test-expect-doc-0.34-r0.apk
2025-04-21 17:35
3.6K
symlinks-1.4.3-r0.apk
2025-04-21 21:27
5.8K
symlinks-doc-1.4.3-r0.apk
2025-04-21 21:27
3.8K
py3-flask-security-5.6.1-r0.apk
2025-04-21 21:40
295K
py3-flask-security-pyc-5.6.1-r0.apk
2025-04-21 21:40
227K
virtualgl-3.1.3-r0.apk
2025-04-21 21:45
1.9M
virtualgl-dev-3.1.3-r0.apk
2025-04-21 21:45
6.1K
virtualgl-doc-3.1.3-r0.apk
2025-04-21 21:45
314K
makedumpfile-1.7.7-r0.apk
2025-04-22 14:41
186K
makedumpfile-doc-1.7.7-r0.apk
2025-04-22 14:41
24K
makedumpfile-openrc-1.7.7-r0.apk
2025-04-22 14:41
2.9K
py3-remind-0.19.2-r0.apk
2025-04-22 17:48
24K
py3-remind-pyc-0.19.2-r0.apk
2025-04-22 17:48
23K
php84-pecl-solr-2.8.1-r0.apk
2025-04-23 04:36
88K
emacs-elfeed-3.4.2-r0.apk
2025-04-23 05:11
91K
emacs-ement-0.16-r0.apk
2025-04-23 05:11
291K
emacs-avy-embark-collect-1.1-r0.apk
2025-04-23 05:42
3.8K
emacs-embark-1.1-r0.apk
2025-04-23 05:42
111K
emacs-embark-consult-1.1-r0.apk
2025-04-23 05:42
10K
emacs-taxy-0.10.2-r0.apk
2025-04-23 05:42
11K
emacs-taxy-magit-section-0.14.3-r0.apk
2025-04-23 05:42
18K
ruby-dry-inflector-1.1.0-r1.apk
2025-04-23 20:24
8.2K
ruby-dry-inflector-doc-1.1.0-r1.apk
2025-04-23 20:24
2.3K
ruby-hashdiff-1.1.1-r1.apk
2025-04-23 20:24
8.4K
ruby-hashdiff-doc-1.1.1-r1.apk
2025-04-23 20:24
2.2K
sshs-4.7.2-r0.apk
2025-04-23 22:26
719K
typobuster-1.0.0-r0.apk
2025-04-23 22:26
129K
perl-devel-repl-1.003029-r0.apk
2025-04-24 14:50
28K
perl-devel-repl-doc-1.003029-r0.apk
2025-04-24 14:50
60K
cosmic-app-library-1.0.0_alpha7-r0.apk
2025-04-25 10:49
6.9M
cosmic-bg-1.0.0_alpha7-r0.apk
2025-04-25 10:49
1.7M
cosmic-edit-1.0.0_alpha7-r0.apk
2025-04-25 10:49
10M
cosmic-files-1.0.0_alpha7-r0.apk
2025-04-25 10:49
19M
cosmic-greeter-1.0.0_alpha7-r0.apk
2025-04-25 10:49
7.3M
cosmic-icons-1.0.0_alpha7-r0.apk
2025-04-25 10:49
231K
cosmic-idle-1.0.0_alpha7-r0.apk
2025-04-25 10:49
1.1M
cosmic-launcher-1.0.0_alpha7-r0.apk
2025-04-25 10:49
5.8M
cosmic-notifications-1.0.0_alpha7-r0.apk
2025-04-25 10:49
6.2M
cosmic-panel-1.0.0_alpha7-r0.apk
2025-04-25 10:49
4.1M
cosmic-player-1.0.0_alpha7-r0.apk
2025-04-25 10:49
6.6M
cosmic-randr-1.0.0_alpha7-r0.apk
2025-04-25 10:49
418K
cosmic-screenshot-1.0.0_alpha7-r0.apk
2025-04-25 10:49
872K
cosmic-session-1.0.0_alpha7-r2.apk
2025-04-25 10:49
1.2M
cosmic-settings-1.0.0_alpha7-r0.apk
2025-04-25 10:49
12M
cosmic-settings-daemon-1.0.0_alpha7-r0.apk
2025-04-25 10:49
1.3M
cosmic-store-1.0.0_alpha7-r0.apk
2025-04-25 10:49
7.7M
cosmic-term-1.0.0_alpha7-r0.apk
2025-04-25 10:49
9.0M
cosmic-workspaces-1.0.0_alpha7-r0.apk
2025-04-25 10:49
6.3M
py3-ovos-bus-client-1.3.4-r0.apk
2025-04-25 10:49
50K
py3-ovos-bus-client-pyc-1.3.4-r0.apk
2025-04-25 10:49
89K
thermald-2.5.9-r0.apk
2025-04-25 10:49
171K
thermald-doc-2.5.9-r0.apk
2025-04-25 10:49
8.7K
thermald-openrc-2.5.9-r0.apk
2025-04-25 10:49
1.8K
xdg-desktop-portal-cosmic-1.0.0_alpha7-r0.apk
2025-04-25 10:49
8.3M
perl-lexical-persistence-1.023-r0.apk
2025-04-26 08:13
7.5K
perl-lexical-persistence-doc-1.023-r0.apk
2025-04-26 08:13
7.6K
perl-devel-stacktrace-withlexicals-2.01-r0.apk
2025-04-26 10:21
3.7K
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
2025-04-26 10:21
3.4K
perl-carp-repl-0.18-r0.apk
2025-04-26 17:12
6.0K
perl-carp-repl-doc-0.18-r0.apk
2025-04-26 17:12
5.9K
perl-catalystx-repl-0.04-r0.apk
2025-04-27 15:07
3.3K
perl-catalystx-repl-doc-0.04-r0.apk
2025-04-27 15:07
3.6K
libstirshaken-0_git20240208-r4.apk
2025-04-28 19:57
52K
libstirshaken-dev-0_git20240208-r4.apk
2025-04-28 19:57
78K
libstirshaken-tools-0_git20240208-r4.apk
2025-04-28 19:57
157K
jedi-language-server-0.45.1-r0.apk
2025-04-28 22:57
32K
jedi-language-server-pyc-0.45.1-r0.apk
2025-04-28 22:57
50K
flamelens-0.3.1-r0.apk
2025-04-29 07:46
1.4M
flamelens-doc-0.3.1-r0.apk
2025-04-29 07:46
3.7K
nvtop-3.2.0-r0.apk
2025-04-29 23:39
62K
nvtop-doc-3.2.0-r0.apk
2025-04-29 23:39
3.5K
emacs-total-recall-0_git20250426-r0.apk
2025-04-30 22:48
18K
emacs-total-recall-examples-0_git20250426-r0.apk
2025-04-30 22:48
14K
perl-moosex-types-loadableclass-0.016-r0.apk
2025-04-30 22:48
3.2K
perl-moosex-types-loadableclass-doc-0.016-r0.apk
2025-04-30 22:48
3.7K
ol-2.6-r0.apk
2025-05-01 06:30
1.0M
ol-dev-2.6-r0.apk
2025-05-01 06:30
16K
ol-doc-2.6-r0.apk
2025-05-01 06:30
2.6K
perl-catalystx-injectcomponent-0.025-r0.apk
2025-05-01 06:46
3.4K
perl-catalystx-injectcomponent-doc-0.025-r0.apk
2025-05-01 06:46
3.6K
perl-html-formhandler-0.40068-r0.apk
2025-05-01 06:46
135K
perl-html-formhandler-doc-0.40068-r0.apk
2025-05-01 06:46
323K
perl-moosex-relatedclassroles-0.004-r0.apk
2025-05-01 06:46
2.8K
perl-moosex-relatedclassroles-doc-0.004-r0.apk
2025-05-01 06:46
3.3K
perl-moosex-traits-pluggable-0.12-r0.apk
2025-05-01 06:46
4.9K
perl-moosex-traits-pluggable-doc-0.12-r0.apk
2025-05-01 06:46
4.2K
nicotine-plus-3.3.10-r0.apk
2025-05-01 18:06
1.6M
nicotine-plus-doc-3.3.10-r0.apk
2025-05-01 18:06
2.6K
nicotine-plus-lang-3.3.10-r0.apk
2025-05-01 18:06
757K
nicotine-plus-pyc-3.3.10-r0.apk
2025-05-01 18:06
789K
b2-tools-4.3.2-r0.apk
2025-05-03 10:05
72K
b2-tools-pyc-4.3.2-r0.apk
2025-05-03 10:05
136K
lcalc-2.1.0-r0.apk
2025-05-03 10:05
205K
lcalc-dev-2.1.0-r0.apk
2025-05-03 10:05
51K
lcalc-doc-2.1.0-r0.apk
2025-05-03 10:05
437K
lcalc-libs-2.1.0-r0.apk
2025-05-03 10:05
219K
py3-b2sdk-2.8.1-r0.apk
2025-05-03 10:05
215K
py3-b2sdk-pyc-2.8.1-r0.apk
2025-05-03 10:05
403K
hurl-6.1.1-r0.apk
2025-05-03 17:29
1.8M
hurl-bash-completion-6.1.1-r0.apk
2025-05-03 17:29
2.3K
hurl-doc-6.1.1-r0.apk
2025-05-03 17:29
8.8K
hurl-fish-completion-6.1.1-r0.apk
2025-05-03 17:29
3.5K
hurl-zsh-completion-6.1.1-r0.apk
2025-05-03 17:29
4.0K
hurlfmt-6.1.1-r0.apk
2025-05-03 17:29
862K
meli-0.8.11-r0.apk
2025-05-04 14:15
4.7M
meli-doc-0.8.11-r0.apk
2025-05-04 14:15
48K
sonar-scanner-7.1.0.4889-r0.apk
2025-05-04 23:13
11M
identities-0.1.4-r0.apk
2025-05-05 21:16
13K
cpu-x-5.2.0-r1.apk
2025-05-06 10:05
2.1M
cpu-x-bash-completion-5.2.0-r1.apk
2025-05-06 10:05
2.0K
cpu-x-fish-completion-5.2.0-r1.apk
2025-05-06 10:05
2.2K
cpu-x-lang-5.2.0-r1.apk
2025-05-06 10:05
266K
cpu-x-zsh-completion-5.2.0-r1.apk
2025-05-06 10:05
2.1K
commit-lsp-0.1.0-r0.apk
2025-05-10 03:12
2.2M
cpdf-2.8.1-r0.apk
2025-05-10 03:12
2.1M
cpdf-doc-2.8.1-r0.apk
2025-05-10 03:12
558K
godot-4.4.1-r0.apk
2025-05-10 03:12
56M
godot-doc-4.4.1-r0.apk
2025-05-10 03:12
4.6K
godot-templates-4.4.1-r0.apk
2025-05-10 03:12
45M
homebank-5.9.1-r0.apk
2025-05-10 03:12
2.0M
homebank-lang-5.9.1-r0.apk
2025-05-10 03:12
942K
libcpdf-2.8.1-r0.apk
2025-05-10 03:12
2.2M
libcpdf-dev-2.8.1-r0.apk
2025-05-10 03:12
17K
libcpdf-static-2.8.1-r0.apk
2025-05-10 03:12
3.3M
libglib-testing-0.1.1-r0.apk
2025-05-10 03:12
12K
libglib-testing-dev-0.1.1-r0.apk
2025-05-10 03:12
5.5K
libglib-testing-doc-0.1.1-r0.apk
2025-05-10 03:12
25K
lomiri-0.5.0-r0.apk
2025-05-10 03:12
3.9M
lomiri-clock-app-4.1.1-r0.apk
2025-05-10 03:12
220K
lomiri-clock-app-lang-4.1.1-r0.apk
2025-05-10 03:12
456K
lomiri-lang-0.5.0-r0.apk
2025-05-10 03:12
269K
lomiri-system-settings-1.3.2-r0.apk
2025-05-10 03:12
1.0M
lomiri-system-settings-lang-1.3.2-r0.apk
2025-05-10 03:12
846K
lomiri-terminal-app-2.0.5-r0.apk
2025-05-10 03:12
64K
lomiri-terminal-app-doc-2.0.5-r0.apk
2025-05-10 03:12
2.7K
lomiri-terminal-app-lang-2.0.5-r0.apk
2025-05-10 03:12
91K
lomiri-url-dispatcher-0.1.4-r0.apk
2025-05-10 03:12
38K
lomiri-url-dispatcher-dev-0.1.4-r0.apk
2025-05-10 03:12
3.3K
lomiri-url-dispatcher-lang-0.1.4-r0.apk
2025-05-10 03:12
26K
ocaml-camlpdf-2.8.1-r0.apk
2025-05-10 03:12
6.0M
ocaml-cpdf-2.8.1-r0.apk
2025-05-10 03:12
4.8M
par2cmdline-turbo-1.3.0-r0.apk
2025-05-10 03:12
311K
par2cmdline-turbo-doc-1.3.0-r0.apk
2025-05-10 03:12
5.9K
perl-catalyst-actionrole-acl-0.07-r0.apk
2025-05-10 03:12
4.3K
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
2025-05-10 03:12
5.7K
perl-catalystx-component-traits-0.19-r0.apk
2025-05-10 03:12
4.3K
perl-catalystx-component-traits-doc-0.19-r0.apk
2025-05-10 03:12
4.0K
perl-catalystx-simplelogin-0.21-r0.apk
2025-05-10 03:12
11K
perl-catalystx-simplelogin-doc-0.21-r0.apk
2025-05-10 03:12
24K
php81-pecl-ds-1.6.0-r0.apk
2025-05-10 03:12
55K
php81-pecl-maxminddb-1.12.1-r0.apk
2025-05-10 03:12
7.8K
py3-cryptg-0.5.0-r0.apk
2025-05-10 03:12
178K
py3-cryptg-pyc-0.5.0-r0.apk
2025-05-10 03:12
1.9K
py3-kikit-1.7.2-r0.apk
2025-05-10 03:12
239K
py3-kikit-pyc-1.7.2-r0.apk
2025-05-10 03:12
277K
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
2025-05-10 03:12
10K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
2025-05-10 03:12
16K
py3-sphinxcontrib-plantuml-0.30-r0.apk
2025-05-10 03:12
12K
py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk
2025-05-10 03:12
19K
resources-1.8.0-r1.apk
2025-05-10 03:12
2.3M
resources-lang-1.8.0-r1.apk
2025-05-10 03:12
126K
snapraid-12.4-r0.apk
2025-05-10 03:12
266K
snapraid-doc-12.4-r0.apk
2025-05-10 03:12
17K
spreadtrum_flash-1.20240815-r0.apk
2025-05-10 03:12
36K
suru-icon-theme-2025.05.0-r0.apk
2025-05-10 03:12
2.9M
wine-mono-10.0.0-r0.apk
2025-05-10 03:12
80M
elementary-feedback-8.0.1-r0.apk
2025-05-14 00:10
45K
elementary-feedback-lang-8.0.1-r0.apk
2025-05-14 00:10
46K
elementary-icon-theme-8.1.0-r0.apk
2025-05-14 00:10
5.0M
hctl-0.2.7-r0.apk
2025-05-14 00:10
1.3M
mage-1.13.0-r23.apk
2025-05-14 00:10
1.6M
mmar-0.2.5-r1.apk
2025-05-14 00:10
2.7M
muse-4.2.1-r2.apk
2025-05-14 00:10
6.1M
muse-doc-4.2.1-r2.apk
2025-05-14 00:10
4.1M
nsq-1.3.0-r10.apk
2025-05-14 00:10
26M
php81-pecl-uuid-1.3.0-r0.apk
2025-05-14 00:10
6.3K
php83-pecl-phpy-1.0.11-r1.apk
2025-05-14 00:10
40K
php84-pecl-phpy-1.0.11-r1.apk
2025-05-14 00:10
40K
piglit-0_git20241106-r1.apk
2025-05-14 00:10
89M
pixiewps-1.4.2-r2.apk
2025-05-14 00:10
51K
pixiewps-doc-1.4.2-r2.apk
2025-05-14 00:10
3.4K
prometheus-ipmi-exporter-1.8.0-r5.apk
2025-05-14 00:10
4.5M
prometheus-ipmi-exporter-doc-1.8.0-r5.apk
2025-05-14 00:10
6.6K
prometheus-ipmi-exporter-openrc-1.8.0-r5.apk
2025-05-14 00:10
1.9K
prometheus-unbound-exporter-0.4.6-r5.apk
2025-05-14 00:10
3.8M
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
2025-05-14 00:10
2.0K
ptylie-0.2-r2.apk
2025-05-14 00:10
11K
ptylie-doc-0.2-r2.apk
2025-05-14 00:10
3.2K
py3-flask-bootstrap-3.3.7.1-r9.apk
2025-05-14 00:10
449K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
2025-05-14 00:10
11K
py3-pyte-0.8.2-r3.apk
2025-05-14 00:10
30K
py3-pyte-pyc-0.8.2-r3.apk
2025-05-14 00:10
39K
py3-sphinxcontrib-slide-1.0.0-r4.apk
2025-05-14 00:10
4.9K
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
2025-05-14 00:10
5.6K
py3-unoconv-0.9.0-r4.apk
2025-05-14 00:10
26K
py3-xlwt-1.3.0-r10.apk
2025-05-14 00:10
94K
py3-xlwt-pyc-1.3.0-r10.apk
2025-05-14 00:10
165K
qperf-0.4.11-r2.apk
2025-05-14 00:10
30K
qperf-doc-0.4.11-r2.apk
2025-05-14 00:10
5.6K
readosm-1.1.0-r3.apk
2025-05-14 00:10
15K
readosm-dev-1.1.0-r3.apk
2025-05-14 00:10
20K
river-shifttags-0.2.1-r1.apk
2025-05-14 00:10
6.0K
river-shifttags-doc-0.2.1-r1.apk
2025-05-14 00:10
2.4K
openconnect-sso-0.8.0_git20230822-r0.apk
2025-05-14 09:13
29K
openconnect-sso-pyc-0.8.0_git20230822-r0.apk
2025-05-14 09:13
29K
py3-textual-3.2.0-r0.apk
2025-05-14 09:52
596K
py3-textual-pyc-3.2.0-r0.apk
2025-05-14 09:52
1.2M
tailspin-5.4.2-r0.apk
2025-05-14 09:52
1.1M
tailspin-bash-completion-5.4.2-r0.apk
2025-05-14 09:52
2.2K
tailspin-doc-5.4.2-r0.apk
2025-05-14 09:52
3.0K
tailspin-fish-completion-5.4.2-r0.apk
2025-05-14 09:52
2.1K
tailspin-zsh-completion-5.4.2-r0.apk
2025-05-14 09:52
2.5K
mjpg-streamer-0_git20210220-r2.apk
2025-05-14 15:03
178K
castero-0.9.5-r4.apk
2025-05-14 18:16
50K
castero-pyc-0.9.5-r4.apk
2025-05-14 18:16
94K
downloader-cli-0.3.4-r2.apk
2025-05-14 18:16
2.0K
openra-20231010-r1.apk
2025-05-14 18:16
24M
py3-dogpile.cache-1.3.3-r1.apk
2025-05-14 18:16
53K
py3-dogpile.cache-pyc-1.3.3-r1.apk
2025-05-14 18:16
90K
py3-downloader-cli-0.3.4-r2.apk
2025-05-14 18:16
11K
py3-downloader-cli-pyc-0.3.4-r2.apk
2025-05-14 18:16
14K
py3-ffmpeg-0.2.0-r5.apk
2025-05-14 18:16
24K
py3-ffmpeg-pyc-0.2.0-r5.apk
2025-05-14 18:16
33K
py3-grequests-0.7.0-r3.apk
2025-05-14 18:16
6.7K
py3-grequests-pyc-0.7.0-r3.apk
2025-05-14 18:16
5.8K
py3-itunespy-1.6-r5.apk
2025-05-14 18:16
9.7K
py3-itunespy-pyc-1.6-r5.apk
2025-05-14 18:16
15K
py3-pysrt-1.1.2-r5.apk
2025-05-14 18:16
25K
py3-pysrt-pyc-1.1.2-r5.apk
2025-05-14 18:16
23K
py3-simber-0.2.6-r5.apk
2025-05-14 18:16
12K
py3-simber-pyc-0.2.6-r5.apk
2025-05-14 18:16
16K
py3-spotipy-2.24.0-r2.apk
2025-05-14 18:16
30K
py3-spotipy-pyc-2.24.0-r2.apk
2025-05-14 18:16
50K
py3-youtube-search-1.6.6-r5.apk
2025-05-14 18:16
78K
py3-youtube-search-pyc-1.6.6-r5.apk
2025-05-14 18:16
95K
sandbar-0.1-r1.apk
2025-05-14 18:16
14K
subliminal-2.2.1-r1.apk
2025-05-14 18:16
68K
subliminal-pyc-2.2.1-r1.apk
2025-05-14 18:16
135K
xcape-1.2-r1.apk
2025-05-14 18:16
6.6K
xcape-doc-1.2-r1.apk
2025-05-14 18:16
3.1K
xkb-switch-1.8.5-r1.apk
2025-05-14 18:16
18K
xkb-switch-doc-1.8.5-r1.apk
2025-05-14 18:16
2.1K
ytmdl-2024.08.15.1-r1.apk
2025-05-14 18:16
50K
ytmdl-bash-completion-2024.08.15.1-r1.apk
2025-05-14 18:16
2.3K
ytmdl-pyc-2024.08.15.1-r1.apk
2025-05-14 18:16
78K
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2025-05-14 18:16
2.1K
py3-cjkwrap-2.2-r6.apk
2025-05-14 19:18
4.5K
py3-cjkwrap-pyc-2.2-r6.apk
2025-05-14 19:18
5.3K
py3-confluent-kafka-2.9.0-r0.apk
2025-05-15 09:51
183K
py3-confluent-kafka-pyc-2.9.0-r0.apk
2025-05-15 09:51
227K
ruby-build-20250507-r0.apk
2025-05-15 20:02
91K
ruby-build-doc-20250507-r0.apk
2025-05-15 20:02
4.8K
ruby-build-runtime-20250507-r0.apk
2025-05-15 20:02
1.3K
py3-python-jwt-4.1.0-r2.apk
2025-05-15 21:26
8.1K
py3-python-jwt-pyc-4.1.0-r2.apk
2025-05-15 21:26
6.5K
cloud-hypervisor-45.0-r0.apk
2025-05-16 08:40
2.6M
dooit-3.2.2-r0.apk
2025-05-16 08:40
45K
dooit-pyc-3.2.2-r0.apk
2025-05-16 08:40
100K
felix-2.16.1-r0.apk
2025-05-16 08:59
648K
cosmic-applets-1.0.0_alpha7-r1.apk
2025-05-16 17:41
11M
mdbook-linkcheck-0.7.7-r0.apk
2025-05-16 19:16
2.7M
sfizz-1.2.3-r0.apk
2025-05-16 20:14
1.7M
sfizz-dev-1.2.3-r0.apk
2025-05-16 20:14
15K
sfizz-doc-1.2.3-r0.apk
2025-05-16 20:14
3.0K
mdbook-katex-0.9.4-r0.apk
2025-05-17 17:30
1.3M
mdbook-mermaid-0.15.0-r0.apk
2025-05-17 17:30
1.7M
perl-net-netmask-2.0003-r0.apk
2025-05-18 04:10
14K
perl-net-netmask-doc-2.0003-r0.apk
2025-05-18 04:10
8.6K
httplz-2.2.0-r0.apk
2025-05-18 15:38
1.3M
httplz-doc-2.2.0-r0.apk
2025-05-18 15:38
2.3K
perl-clipboard-0.32-r0.apk
2025-05-18 15:45
10K
perl-clipboard-doc-0.32-r0.apk
2025-05-18 15:45
27K
mimalloc1-1.9.3-r1.apk
2025-05-18 16:00
74K
mimalloc1-debug-1.9.3-r1.apk
2025-05-18 16:00
209K
mimalloc1-dev-1.9.3-r1.apk
2025-05-18 16:00
502K
mimalloc1-insecure-1.9.3-r1.apk
2025-05-18 16:00
67K
diskus-0.8.0-r0.apk
2025-05-18 22:20
338K
hardinfo2-2.2.10-r0.apk
2025-05-18 22:20
3.3M
hardinfo2-doc-2.2.10-r0.apk
2025-05-18 22:20
2.7K
hardinfo2-lang-2.2.10-r0.apk
2025-05-18 22:20
273K
hardinfo2-openrc-2.2.10-r0.apk
2025-05-18 22:20
1.9K
parse-changelog-0.6.12-r0.apk
2025-05-18 22:20
550K
afew-3.0.1-r0.apk
2025-05-18 22:30
73K
afew-doc-3.0.1-r0.apk
2025-05-18 22:30
12K
dvisvgm-3.4.4-r0.apk
2025-05-18 22:44
1.1M
dvisvgm-doc-3.4.4-r0.apk
2025-05-18 22:44
26K
trippy-0.13.0-r0.apk
2025-05-18 23:20
2.0M
trippy-bash-completion-0.13.0-r0.apk
2025-05-18 23:20
3.2K
trippy-zsh-completion-0.13.0-r0.apk
2025-05-18 23:20
4.8K
pict-rs-0.5.19-r0.apk
2025-05-19 09:19
5.9M
pict-rs-openrc-0.5.19-r0.apk
2025-05-19 09:19
1.9K
apache2-mod-maxminddb-1.2.0-r0.apk
2025-05-19 10:23
11K
lomiri-indicator-location-25.4.22-r0.apk
2025-05-19 13:10
28K
lomiri-indicator-location-lang-25.4.22-r0.apk
2025-05-19 13:10
27K
river-luatile-0.1.4-r0.apk
2025-05-19 13:10
248K
php82-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:41
19K
php83-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:41
19K
apache-mod-auth-openidc-2.4.16.11-r1.apk
2025-05-22 07:16
223K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
2025-05-22 07:16
4.4K
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
2025-05-22 07:16
290K
drogon-1.9.4-r2.apk
2025-05-22 07:16
1.5M
drogon-dev-1.9.4-r2.apk
2025-05-22 07:16
121K
drogon-doc-1.9.4-r2.apk
2025-05-22 07:16
2.3K
errands-46.2.8-r0.apk
2025-05-22 16:45
84K
errands-lang-46.2.8-r0.apk
2025-05-22 16:45
71K
onevpl-intel-gpu-25.2.3-r0.apk
2025-05-22 16:45
2.2M
onevpl-intel-gpu-dev-25.2.3-r0.apk
2025-05-22 16:45
1.8K
ripasso-cursive-0.7.0-r0.apk
2025-05-23 09:26
3.1M
river-bedload-0.1.1-r2.apk
2025-05-24 02:16
184K
river-bedload-doc-0.1.1-r2.apk
2025-05-24 02:16
2.3K
river-bedload-zsh-completion-0.1.1-r2.apk
2025-05-24 02:16
1.9K
rivercarro-0.5.0-r2.apk
2025-05-24 02:16
196K
rivercarro-doc-0.5.0-r2.apk
2025-05-24 02:16
3.1K
wayprompt-0.1.2-r2.apk
2025-05-24 02:16
469K
wayprompt-doc-0.1.2-r2.apk
2025-05-24 02:16
6.1K
nb-7.19.1-r0.apk
2025-05-24 22:10
152K
nb-bash-completion-7.19.1-r0.apk
2025-05-24 22:10
3.0K
nb-doc-7.19.1-r0.apk
2025-05-24 22:10
77K
nb-fish-completion-7.19.1-r0.apk
2025-05-24 22:10
2.8K
nb-full-7.19.1-r0.apk
2025-05-24 22:10
1.3K
nb-zsh-completion-7.19.1-r0.apk
2025-05-24 22:10
3.0K
elementary-dock-8.0.2-r0.apk
2025-05-24 22:57
88K
elementary-dock-lang-8.0.2-r0.apk
2025-05-24 22:57
28K
cln-1.3.7-r1.apk
2025-05-25 07:49
453K
cln-dev-1.3.7-r1.apk
2025-05-25 07:49
1.1M
cln-doc-1.3.7-r1.apk
2025-05-25 07:49
77K
endlessh-1.1-r1.apk
2025-05-25 07:49
9.1K
endlessh-doc-1.1-r1.apk
2025-05-25 07:49
2.7K
ginac-1.8.9-r0.apk
2025-05-25 07:49
1.1M
ginac-dev-1.8.9-r0.apk
2025-05-25 07:49
68K
ginac-doc-1.8.9-r0.apk
2025-05-25 07:49
98K
guetzli-0_git20191025-r2.apk
2025-05-25 07:49
160K
guetzli-dev-0_git20191025-r2.apk
2025-05-25 07:49
2.4M
py3-beartype-0.21.0-r0.apk
2025-05-25 13:46
926K
py3-beartype-pyc-0.21.0-r0.apk
2025-05-25 13:46
673K
codec2-1.2.0-r0.apk
2025-05-25 22:13
667K
codec2-dev-1.2.0-r0.apk
2025-05-25 22:13
15K
chimerautils-14.2.1-r0.apk
2025-05-25 23:19
1.2M
chimerautils-dbg-14.2.1-r0.apk
2025-05-25 23:19
3.0M
tinyemu-2019.12.21-r0.apk
2025-05-25 23:35
158K
obnc-0.17.2-r0.apk
2025-05-25 23:37
139K
obnc-doc-0.17.2-r0.apk
2025-05-25 23:37
33K
ruby-appraisal-2.5.0-r0.apk
2025-05-25 23:52
11K
ruby-appraisal-doc-2.5.0-r0.apk
2025-05-25 23:52
2.3K
ruby-benchmark-ips-2.14.0-r0.apk
2025-05-25 23:54
14K
ruby-benchmark-ips-doc-2.14.0-r0.apk
2025-05-25 23:54
2.3K
ruby-coderay-1.1.3-r0.apk
2025-05-25 23:56
89K
ruby-coderay-doc-1.1.3-r0.apk
2025-05-25 23:56
2.3K
ruby-fast_gettext-3.1.0-r0.apk
2025-05-25 23:58
22K
ruby-event_emitter-0.2.6-r0.apk
2025-05-26 00:00
3.1K
ruby-event_emitter-doc-0.2.6-r0.apk
2025-05-26 00:00
2.3K
infnoise-0.3.3-r0.apk
2025-05-26 08:58
14K
infnoise-doc-0.3.3-r0.apk
2025-05-26 08:58
4.1K
infnoise-openrc-0.3.3-r0.apk
2025-05-26 08:58
1.7K
libinfnoise-0.3.3-r0.apk
2025-05-26 08:58
13K
elementary-settings-daemon-8.3.0-r0.apk
2025-05-26 09:05
82K
elementary-settings-daemon-lang-8.3.0-r0.apk
2025-05-26 09:05
74K
elementary-settings-daemon-openrc-8.3.0-r0.apk
2025-05-26 09:05
1.8K
touchpad-emulator-0.3-r0.apk
2025-05-26 09:33
13K
apt-swarm-0.5.1-r0.apk
2025-05-26 10:54
3.0M
apt-swarm-bash-completion-0.5.1-r0.apk
2025-05-26 10:54
4.2K
apt-swarm-fish-completion-0.5.1-r0.apk
2025-05-26 10:54
5.7K
apt-swarm-openrc-0.5.1-r0.apk
2025-05-26 10:54
1.9K
apt-swarm-zsh-completion-0.5.1-r0.apk
2025-05-26 10:54
5.9K
cargo-geiger-0.12.0-r0.apk
2025-05-26 11:08
5.2M
cargo-geiger-doc-0.12.0-r0.apk
2025-05-26 11:08
7.7K
ovos-core-1.3.1-r0.apk
2025-05-26 12:52
51K
ovos-core-pyc-1.3.1-r0.apk
2025-05-26 12:52
64K
linuxwave-0.3.0-r0.apk
2025-05-26 18:25
183K
linuxwave-doc-0.3.0-r0.apk
2025-05-26 18:25
3.3K
roll-2.6.1-r0.apk
2025-05-26 23:03
13K
roll-bash-completion-2.6.1-r0.apk
2025-05-26 23:03
1.9K
roll-doc-2.6.1-r0.apk
2025-05-26 23:03
11K
hiawatha-11.6-r1.apk
2025-05-27 11:07
181K
hiawatha-doc-11.6-r1.apk
2025-05-27 11:07
21K
hiawatha-letsencrypt-11.6-r1.apk
2025-05-27 11:07
17K
hiawatha-openrc-11.6-r1.apk
2025-05-27 11:07
1.7K
turntable-0.3.3-r0.apk
2025-05-27 13:01
181K
turntable-lang-0.3.3-r0.apk
2025-05-27 13:01
12K
font-cascadia-2407.24-r1.apk
2025-05-27 14:33
1.3K
font-cascadia-code-2407.24-r1.apk
2025-05-27 14:33
526K
font-cascadia-mono-2407.24-r1.apk
2025-05-27 14:33
507K
abnfgen-0.21-r0.apk
2025-05-27 21:26
18K
abnfgen-doc-0.21-r0.apk
2025-05-27 21:26
5.1K
nomadnet-0.7.0-r0.apk
2025-05-27 21:32
143K
nomadnet-pyc-0.7.0-r0.apk
2025-05-27 21:32
284K
py3-lxmf-0.7.1-r0.apk
2025-05-27 21:32
51K
py3-lxmf-pyc-0.7.1-r0.apk
2025-05-27 21:32
109K
py3-rns-0.9.6-r0.apk
2025-05-27 21:32
344K
py3-rns-pyc-0.9.6-r0.apk
2025-05-27 21:32
704K
baikal-0.10.1-r1.apk
2025-05-27 21:37
1.3M
baikal-mysql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
baikal-pgsql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
baikal-sqlite-0.10.1-r1.apk
2025-05-27 21:37
1.4K
terminology-1.14.0-r0.apk
2025-05-27 22:49
2.7M
terminology-doc-1.14.0-r0.apk
2025-05-27 22:49
9.0K
terminology-lang-1.14.0-r0.apk
2025-05-27 22:49
143K
kronosnet-1.30-r0.apk
2025-05-27 23:07
77K
kronosnet-dev-1.30-r0.apk
2025-05-27 23:07
2.7M
kronosnet-doc-1.30-r0.apk
2025-05-27 23:07
106K
ouch-0.6.1-r0.apk
2025-05-28 07:37
1.7M
ouch-bash-completion-0.6.1-r0.apk
2025-05-28 07:37
2.5K
ouch-doc-0.6.1-r0.apk
2025-05-28 07:37
3.8K
ouch-fish-completion-0.6.1-r0.apk
2025-05-28 07:37
3.0K
ouch-zsh-completion-0.6.1-r0.apk
2025-05-28 07:37
3.1K
paged-markdown-3-pdf-0.1.3-r0.apk
2025-05-28 14:24
465K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
2025-05-28 14:24
1.9K
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
2025-05-28 14:24
1.8K
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
2025-05-28 14:24
1.9K
biboumi-9.0-r8.apk
2025-05-28 21:36
275K
biboumi-doc-9.0-r8.apk
2025-05-28 21:36
1.5K
biboumi-openrc-9.0-r8.apk
2025-05-28 21:36
1.9K
botan2-2.19.5-r0.apk
2025-05-28 21:36
420K
botan2-dev-2.19.5-r0.apk
2025-05-28 21:36
312K
botan2-doc-2.19.5-r0.apk
2025-05-28 21:36
306K
botan2-libs-2.19.5-r0.apk
2025-05-28 21:36
2.7M
corosync-3.1.9-r0.apk
2025-05-29 04:04
268K
corosync-dev-3.1.9-r0.apk
2025-05-29 04:04
478K
corosync-doc-3.1.9-r0.apk
2025-05-29 04:04
190K
corosync-openrc-3.1.9-r0.apk
2025-05-29 04:04
1.8K
jackdaw-0.3.1-r2.apk
2025-05-29 12:00
2.0M
jackdaw-pyc-0.3.1-r2.apk
2025-05-29 12:00
364K
kerberoast-0.2.0-r2.apk
2025-05-29 12:00
9.3K
kerberoast-pyc-0.2.0-r2.apk
2025-05-29 12:00
15K
masky-0.2.0-r2.apk
2025-05-29 12:00
277K
masky-pyc-0.2.0-r2.apk
2025-05-29 12:00
64K
nmap-parse-output-1.5.1-r1.apk
2025-05-29 12:00
20K
nmap-parse-output-bash-completion-1.5.1-r1.apk
2025-05-29 12:00
2.0K
nmap-parse-output-doc-1.5.1-r1.apk
2025-05-29 12:00
808K
py3-aesedb-0.1.6-r3.apk
2025-05-29 12:00
36K
py3-aesedb-pyc-0.1.6-r3.apk
2025-05-29 12:00
75K
py3-aiowinreg-0.0.12-r1.apk
2025-05-29 12:00
22K
py3-aiowinreg-pyc-0.0.12-r1.apk
2025-05-29 12:00
45K
py3-mbedtls-2.10.1-r3.apk
2025-05-29 12:00
949K
py3-mbedtls-pyc-2.10.1-r3.apk
2025-05-29 12:00
27K
py3-minidump-0.0.24-r1.apk
2025-05-29 12:00
64K
py3-minidump-pyc-0.0.24-r1.apk
2025-05-29 12:00
129K
py3-msldap-0.5.15-r1.apk
2025-05-29 12:00
143K
py3-msldap-pyc-0.5.15-r1.apk
2025-05-29 12:00
330K
py3-winacl-0.1.9-r1.apk
2025-05-29 12:00
83K
py3-winacl-pyc-0.1.9-r1.apk
2025-05-29 12:00
131K
pypykatz-0.6.11-r1.apk
2025-05-29 12:00
315K
pypykatz-pyc-0.6.11-r1.apk
2025-05-29 12:00
721K
toss-1.1-r1.apk
2025-05-29 12:00
11K
uclient-20241022-r0.apk
2025-05-30 05:47
18K
uclient-dev-20241022-r0.apk
2025-05-30 05:47
3.5K
uclient-fetch-20241022-r0.apk
2025-05-30 05:47
9.7K
sstp-client-1.0.20-r2.apk
2025-05-30 10:23
38K
sstp-client-dev-1.0.20-r2.apk
2025-05-30 10:23
5.2K
sstp-client-doc-1.0.20-r2.apk
2025-05-30 10:23
4.9K
phpactor-2025.04.17.0-r0.apk
2025-05-30 14:55
3.5M
asymptote-3.04-r0.apk
2025-05-31 06:51
1.4M
asymptote-doc-3.04-r0.apk
2025-05-31 06:51
3.1M
libspatialindex-2.1.0-r0.apk
2025-05-31 10:29
303K
libspatialindex-dev-2.1.0-r0.apk
2025-05-31 10:29
21K
rtl8812au-src-5.6.4.2_git20250530-r0.apk
2025-05-31 16:24
2.6M
66-tools-0.1.2.0-r0.apk
2025-06-02 03:03
55K
66-tools-dbg-0.1.2.0-r0.apk
2025-06-02 03:03
107K
66-tools-dev-0.1.2.0-r0.apk
2025-06-02 03:03
2.0K
66-tools-doc-0.1.2.0-r0.apk
2025-06-02 03:03
39K
66-tools-nsrules-0.1.2.0-r0.apk
2025-06-02 03:03
2.9K
baresip-3.20.0-r1.apk
2025-06-02 03:03
1.0M
baresip-dev-3.20.0-r1.apk
2025-06-02 03:03
16K
mediastreamer2-5.3.100-r1.apk
2025-06-02 03:03
359K
mediastreamer2-dev-5.3.100-r1.apk
2025-06-02 03:03
110K
mediastreamer2-doc-5.3.100-r1.apk
2025-06-02 03:03
108K
oblibs-0.3.4.0-r0.apk
2025-06-02 03:03
33K
oblibs-dbg-0.3.4.0-r0.apk
2025-06-02 03:03
99K
oblibs-dev-0.3.4.0-r0.apk
2025-06-02 03:03
317K
qmk-cli-1.1.8-r0.apk
2025-06-02 03:03
15K
qmk-cli-pyc-1.1.8-r0.apk
2025-06-02 03:03
22K
66-0.8.2.1-r0.apk
2025-06-03 19:11
293K
66-dbg-0.8.2.1-r0.apk
2025-06-03 19:11
757K
66-dev-0.8.2.1-r0.apk
2025-06-03 19:11
1.9M
66-doc-0.8.2.1-r0.apk
2025-06-03 19:11
190K
66-init-0.8.2.1-r0.apk
2025-06-03 19:11
1.5K
nlopt-2.10.0-r1.apk
2025-06-04 22:33
187K
nlopt-dev-2.10.0-r1.apk
2025-06-04 22:33
12K
nlopt-doc-2.10.0-r1.apk
2025-06-04 22:33
23K
nlopt-guile-2.10.0-r1.apk
2025-06-04 22:33
42K
nlopt-octave-2.10.0-r1.apk
2025-06-04 22:33
27K
perl-class-unload-0.11-r0.apk
2025-06-04 23:00
2.6K
perl-class-unload-doc-0.11-r0.apk
2025-06-04 23:00
3.1K
perl-moosex-markasmethods-0.15-r0.apk
2025-06-04 23:00
5.1K
perl-moosex-markasmethods-doc-0.15-r0.apk
2025-06-04 23:00
5.2K
cosmic-osd-1.0.0_alpha7-r1.apk
2025-06-06 09:42
5.0M
perl-lingua-stem-fr-0.02-r0.apk
2025-06-06 14:57
6.1K
perl-lingua-stem-fr-doc-0.02-r0.apk
2025-06-06 14:57
3.9K
perl-lingua-stem-it-0.02-r0.apk
2025-06-06 14:57
5.2K
perl-lingua-stem-it-doc-0.02-r0.apk
2025-06-06 14:57
3.5K
perl-lingua-stem-ru-0.04-r0.apk
2025-06-06 14:57
4.1K
perl-lingua-stem-ru-doc-0.04-r0.apk
2025-06-06 14:57
3.7K
perl-lingua-pt-stemmer-0.02-r0.apk
2025-06-07 02:00
5.4K
perl-lingua-pt-stemmer-doc-0.02-r0.apk
2025-06-07 02:00
4.3K
perl-text-german-0.06-r0.apk
2025-06-07 02:00
13K
perl-text-german-doc-0.06-r0.apk
2025-06-07 02:00
3.0K
sponskrub-3.7.2-r9.apk
2025-06-07 09:13
184K
perl-html-formatexternal-26-r0.apk
2025-06-07 14:55
17K
perl-html-formatexternal-doc-26-r0.apk
2025-06-07 14:55
23K
perl-shell-guess-0.10-r0.apk
2025-06-07 14:55
6.0K
perl-shell-guess-doc-0.10-r0.apk
2025-06-07 14:55
5.8K
perl-lingua-en-words2nums-0.18-r0.apk
2025-06-07 14:55
4.6K
perl-lingua-en-words2nums-doc-0.18-r0.apk
2025-06-07 14:55
3.5K
klong-20221212-r0.apk
2025-06-07 15:16
333K
ldc-runtime-cross-1.41.0-r0.apk
2025-06-07 16:13
2.5K
ldc-runtime-cross-aarch64-1.41.0-r0.apk
2025-06-07 16:13
6.8M
ldc-runtime-cross-loongarch64-1.41.0-r0.apk
2025-06-07 16:13
6.5M
ldc-runtime-cross-ppc64le-1.41.0-r0.apk
2025-06-07 16:13
6.9M
ldc-runtime-cross-riscv64-1.41.0-r0.apk
2025-06-07 16:13
7.1M
faust-2.79.3-r0.apk
2025-06-07 17:01
8.1M
faust-dev-2.79.3-r0.apk
2025-06-07 17:01
1.4M
faust-doc-2.79.3-r0.apk
2025-06-07 17:01
17M
faust-static-2.79.3-r0.apk
2025-06-07 17:01
521K
faust-tools-2.79.3-r0.apk
2025-06-07 17:01
120K
faust-vim-2.79.3-r0.apk
2025-06-07 17:01
2.6K
perl-alien-base-modulebuild-1.17-r0.apk
2025-06-08 16:03
22K
perl-alien-base-modulebuild-doc-1.17-r0.apk
2025-06-08 16:03
53K
perl-devel-findperl-0.016-r0.apk
2025-06-08 16:03
4.7K
perl-devel-findperl-doc-0.016-r0.apk
2025-06-08 16:03
3.8K
perl-lingua-en-findnumber-1.32-r0.apk
2025-06-08 16:03
3.3K
perl-lingua-en-findnumber-doc-1.32-r0.apk
2025-06-08 16:03
3.5K
perl-lingua-en-inflect-number-1.12-r0.apk
2025-06-08 16:03
3.2K
perl-lingua-en-inflect-number-doc-1.12-r0.apk
2025-06-08 16:03
3.6K
perl-lingua-en-number-isordinal-0.05-r0.apk
2025-06-08 16:03
3.1K
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
2025-06-08 16:03
3.4K
perl-lingua-en-tagger-0.31-r0.apk
2025-06-08 16:03
546K
perl-lingua-en-tagger-doc-0.31-r0.apk
2025-06-08 16:03
4.5K
perl-lingua-stem-2.31-r0.apk
2025-06-08 16:03
12K
perl-lingua-stem-doc-2.31-r0.apk
2025-06-08 16:03
34K
perl-lingua-stem-snowball-da-1.01-r0.apk
2025-06-08 16:03
4.3K
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2025-06-08 16:03
3.0K
perl-memoize-expirelru-0.56-r0.apk
2025-06-08 16:03
6.2K
perl-memoize-expirelru-doc-0.56-r0.apk
2025-06-08 16:03
3.8K
perl-module-path-0.19-r0.apk
2025-06-08 16:03
4.7K
perl-module-path-doc-0.19-r0.apk
2025-06-08 16:03
5.8K
perl-shell-config-generate-0.34-r0.apk
2025-06-08 16:03
7.8K
perl-shell-config-generate-doc-0.34-r0.apk
2025-06-08 16:03
6.8K
perl-snowball-norwegian-1.2-r0.apk
2025-06-08 16:03
5.3K
perl-snowball-norwegian-doc-1.2-r0.apk
2025-06-08 16:03
3.9K
perl-snowball-swedish-1.2-r0.apk
2025-06-08 16:03
5.2K
perl-snowball-swedish-doc-1.2-r0.apk
2025-06-08 16:03
3.9K
tinygltf-2.9.6-r0.apk
2025-06-08 16:33
145K
tinygltf-dev-2.9.6-r0.apk
2025-06-08 16:33
57K
youtube-viewer-3.11.6-r0.apk
2025-06-09 05:05
84K
youtube-viewer-doc-3.11.6-r0.apk
2025-06-09 05:05
41K
youtube-viewer-gtk-3.11.6-r0.apk
2025-06-09 05:05
172K
raspberrypi-usbboot-20250227-r0.apk
2025-06-09 08:50
886K
perl-moox-typetiny-0.002003-r0.apk
2025-06-09 12:05
3.6K
perl-moox-typetiny-doc-0.002003-r0.apk
2025-06-09 12:05
3.2K
perl-path-dispatcher-1.08-r0.apk
2025-06-09 12:05
14K
perl-path-dispatcher-doc-1.08-r0.apk
2025-06-09 12:05
38K
perl-lingua-en-inflect-phrase-0.20-r0.apk
2025-06-09 12:06
5.3K
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
2025-06-09 12:06
3.9K
perl-string-toidentifier-en-0.12-r0.apk
2025-06-09 12:06
5.4K
perl-string-toidentifier-en-doc-0.12-r0.apk
2025-06-09 12:06
5.6K
perl-dbix-class-cursor-cached-1.001004-r0.apk
2025-06-10 00:53
3.2K
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2025-06-10 00:53
3.0K
perl-dbix-class-schema-loader-0.07053-r0.apk
2025-06-10 00:53
97K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
2025-06-10 00:53
77K
perl-text-worddiff-0.09-r0.apk
2025-06-10 00:53
10K
perl-text-worddiff-doc-0.09-r0.apk
2025-06-10 00:53
14K
ktx-4.3.2-r1.apk
2025-06-10 02:57
1.3M
ktx-dev-4.3.2-r1.apk
2025-06-10 02:57
29K
ktx-libs-4.3.2-r1.apk
2025-06-10 02:57
1.4M
py3-libpyshell-0.4.1-r1.apk
2025-06-10 09:42
12K
py3-libpyshell-pyc-0.4.1-r1.apk
2025-06-10 09:42
18K
mapnik-4.0.6-r1.apk
2025-06-10 10:45
12M
mapnik-dev-4.0.6-r1.apk
2025-06-10 10:45
486K
mapnik-doc-4.0.6-r1.apk
2025-06-10 10:45
142K
mapserver-8.4.0-r2.apk
2025-06-10 10:45
1.3M
mapserver-dev-8.4.0-r2.apk
2025-06-10 10:45
540K
megazeux-2.93d-r0.apk
2025-06-10 13:49
1.4M
megazeux-doc-2.93d-r0.apk
2025-06-10 13:49
465K
py3-pymupdf-1.25.5-r0.apk
2025-06-10 18:55
318K
py3-pymupdf-pyc-1.25.5-r0.apk
2025-06-10 18:55
503K
py3-rst2pdf-0.102-r0.apk
2025-06-10 18:55
155K
py3-rst2pdf-pyc-0.102-r0.apk
2025-06-10 18:55
206K
py3-svglib-1.5.1-r0.apk
2025-06-10 18:55
30K
py3-svglib-doc-1.5.1-r0.apk
2025-06-10 18:55
2.1K
py3-svglib-pyc-1.5.1-r0.apk
2025-06-10 18:55
42K
pam-pkcs11-0.6.13-r0.apk
2025-06-10 19:02
257K
pam-pkcs11-doc-0.6.13-r0.apk
2025-06-10 19:02
14K
candyboot-0.1.0-r0.apk
2025-06-11 12:34
23K
perl-asa-1.04-r0.apk
2025-06-11 16:34
4.3K
perl-asa-doc-1.04-r0.apk
2025-06-11 16:34
5.0K
perl-io-handle-util-0.02-r0.apk
2025-06-11 16:34
11K
perl-io-handle-util-doc-0.02-r0.apk
2025-06-11 16:34
9.8K
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
2025-06-11 16:35
13K
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
2025-06-11 16:35
14K
perl-catalyst-model-dbic-schema-0.66-r0.apk
2025-06-11 16:35
19K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
2025-06-11 16:35
18K
w_scan2-1.0.17-r0.apk
2025-06-11 17:37
133K
w_scan2-doc-1.0.17-r0.apk
2025-06-11 17:37
4.2K
libigraph-0.10.16-r0.apk
2025-06-11 20:26
1.2M
libigraph-dev-0.10.16-r0.apk
2025-06-11 20:26
91K
py3-igraph-0.11.9-r0.apk
2025-06-11 20:26
403K
py3-igraph-dev-0.11.9-r0.apk
2025-06-11 20:26
2.6K
py3-igraph-pyc-0.11.9-r0.apk
2025-06-11 20:26
371K
clementine-1.4.1_git20250503-r0.apk
2025-06-12 13:59
6.2M
compiz-0.9.14.2-r11.apk
2025-06-12 13:59
6.0M
compiz-dev-0.9.14.2-r11.apk
2025-06-12 13:59
117K
compiz-lang-0.9.14.2-r11.apk
2025-06-12 13:59
1.2M
compiz-pyc-0.9.14.2-r11.apk
2025-06-12 13:59
112K
compiz-utils-0.9.14.2-r11.apk
2025-06-12 13:59
3.3K
criu-3.19-r3.apk
2025-06-12 13:59
684K
criu-dev-3.19-r3.apk
2025-06-12 13:59
91K
criu-doc-3.19-r3.apk
2025-06-12 13:59
24K
pulsar-client-cpp-3.7.1-r0.apk
2025-06-12 13:59
1.4M
pulsar-client-cpp-dev-3.7.1-r0.apk
2025-06-12 13:59
64K
py3-arcus-5.3.0-r5.apk
2025-06-12 13:59
84K
py3-criu-3.19-r3.apk
2025-06-12 13:59
49K
py3-criu-pyc-3.19-r3.apk
2025-06-12 13:59
72K
py3-yosys-0.42-r1.apk
2025-06-12 13:59
1.8K
turn-rs-3.4.0-r1.apk
2025-06-12 13:59
568K
turn-rs-doc-3.4.0-r1.apk
2025-06-12 13:59
11K
turn-rs-openrc-3.4.0-r1.apk
2025-06-12 13:59
2.0K
yosys-0.42-r1.apk
2025-06-12 13:59
20M
yosys-dev-0.42-r1.apk
2025-06-12 13:59
117K
dart-3.8.1-r0.apk
2025-06-12 22:33
47M
dart-sdk-3.8.1-r0.apk
2025-06-12 22:33
130M
dart-stage0-3.8.0_alpha237_p0-r0.apk
2025-06-12 22:33
181M
dartaotruntime-3.8.1-r0.apk
2025-06-12 22:33
1.5M
edit-1.2.0-r0.apk
2025-06-12 22:33
252K
edit-doc-1.2.0-r0.apk
2025-06-12 22:33
2.2K
libeantic-2.1.0-r1.apk
2025-06-13 04:57
76K
libeantic-dev-2.1.0-r1.apk
2025-06-13 04:57
18K
normaliz-3.10.4-r2.apk
2025-06-13 04:57
43K
normaliz-dev-3.10.4-r2.apk
2025-06-13 04:57
73K
normaliz-libs-3.10.4-r2.apk
2025-06-13 04:57
2.7M
tsung-1.8.0-r3.apk
2025-06-13 05:39
722K
antimicrox-3.5.1-r0.apk
2025-06-13 13:55
1.6M
antimicrox-doc-3.5.1-r0.apk
2025-06-13 13:55
24K
logc-0.5.0-r1.apk
2025-06-13 14:12
8.0K
logc-argp-0.5.0-r1.apk
2025-06-13 14:12
16K
logc-config-0.5.0-r1.apk
2025-06-13 14:12
4.9K
logc-dev-0.5.0-r1.apk
2025-06-13 14:12
8.6K
sentinel-proxy-2.1.0-r1.apk
2025-06-13 14:12
43K
sentinel-proxy-dev-2.1.0-r1.apk
2025-06-13 14:12
4.4K
sentinel-proxy-openrc-2.1.0-r1.apk
2025-06-13 14:12
2.2K
hunspell-fr-7.0-r0.apk
2025-06-13 15:36
1.2M
hunspell-fr-doc-7.0-r0.apk
2025-06-13 15:36
2.9K
radarr-5.26.2.10099-r0.apk
2025-06-13 16:25
28M
radarr-openrc-5.26.2.10099-r0.apk
2025-06-13 16:25
2.0K
perl-http-headers-actionpack-0.09-r0.apk
2025-06-13 18:17
18K
perl-http-headers-actionpack-doc-0.09-r0.apk
2025-06-13 18:17
40K
perl-web-machine-0.17-r0.apk
2025-06-13 18:17
20K
perl-web-machine-doc-0.17-r0.apk
2025-06-13 18:17
29K
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
2025-06-13 18:17
5.9K
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
2025-06-13 18:17
6.4K
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
2025-06-13 18:17
4.5K
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
2025-06-13 18:17
4.5K
perl-catalyst-plugin-session-store-file-0.18-r0.apk
2025-06-14 02:46
3.6K
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
2025-06-14 02:46
4.0K
perl-catalyst-plugin-stacktrace-0.12-r0.apk
2025-06-14 02:46
4.7K
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
2025-06-14 02:46
3.9K
lavacli-2.4-r0.apk
2025-06-15 03:57
49K
lavacli-doc-2.4-r0.apk
2025-06-15 03:57
34K
lavacli-pyc-2.4-r0.apk
2025-06-15 03:57
88K
php81-pecl-couchbase-4.3.0-r0.apk
2025-06-15 03:57
5.1M
php81-pecl-mongodb-2.1.1-r0.apk
2025-06-15 03:57
813K
py3-recurring-ical-events-3.8.0-r0.apk
2025-06-15 03:57
39K
py3-recurring-ical-events-pyc-3.8.0-r0.apk
2025-06-15 03:57
50K
rt5-5.0.8-r0.apk
2025-06-15 04:44
17M
perl-catalystx-leakchecker-0.06-r0.apk
2025-06-15 04:47
3.4K
perl-catalystx-leakchecker-doc-0.06-r0.apk
2025-06-15 04:47
3.5K
perl-catalystx-profile-0.02-r0.apk
2025-06-15 04:47
3.1K
perl-catalystx-profile-doc-0.02-r0.apk
2025-06-15 04:47
4.5K
perl-task-catalyst-4.02-r0.apk
2025-06-15 04:47
2.9K
perl-task-catalyst-doc-4.02-r0.apk
2025-06-15 04:47
3.7K
perl-badger-0.16-r0.apk
2025-06-15 14:50
253K
perl-badger-doc-0.16-r0.apk
2025-06-15 14:50
260K
quickemu-4.9.7-r0.apk
2025-06-15 20:03
56K
quickemu-doc-4.9.7-r0.apk
2025-06-15 20:03
25K
perl-css-inliner-4027-r0.apk
2025-06-16 11:50
16K
perl-css-inliner-doc-4027-r0.apk
2025-06-16 11:50
9.4K
perl-html-query-0.09-r0.apk
2025-06-16 11:50
14K
perl-html-query-doc-0.09-r0.apk
2025-06-16 11:50
10K
mpv-sponsorblock-2.2.0-r0.apk
2025-06-16 15:16
1.5M
cinny-web-4.8.1-r0.apk
2025-06-16 15:41
5.3M
py3-modern_colorthief-0.1.7-r0.apk
2025-06-16 17:58
758K
py3-modern_colorthief-pyc-0.1.7-r0.apk
2025-06-16 17:58
2.6K
kontainer-1.0.1-r0.apk
2025-06-16 23:00
193K
mdbook-admonish-1.20.0-r0.apk
2025-06-16 23:18
1.0M
flint-3.3.1-r0.apk
2025-06-17 04:27
4.3M
flint-dev-3.3.1-r0.apk
2025-06-17 04:27
311K
lychee-0.19.1-r0.apk
2025-06-17 07:59
3.0M
lychee-doc-0.19.1-r0.apk
2025-06-17 07:59
13K
battery-limit-openrc-1-r0.apk
2025-06-17 10:22
1.8K
dbus-broker-37-r0.apk
2025-06-17 10:22
85K
dbus-broker-doc-37-r0.apk
2025-06-17 10:22
5.9K
today-6.2.1-r0.apk
2025-06-17 15:29
3.2K
today-doc-6.2.1-r0.apk
2025-06-17 15:29
3.3K
py3-jaraco.logging-3.4.0-r0.apk
2025-06-17 22:14
5.3K
py3-jaraco.logging-pyc-3.4.0-r0.apk
2025-06-17 22:14
6.1K
amber-0.4.0-r0.apk
2025-06-19 00:46
534K
tree-sitter-hcl-1.2.0-r0.apk
2025-06-19 00:46
22K
xemu-0.8.74-r0.apk
2025-06-19 00:46
4.3M
mesonlsp-4.3.7-r4.apk
2025-06-19 05:35
2.1M
grpc-java-1.73.0-r0.apk
2025-06-19 06:25
1.5M
bindfs-1.17.7-r1.apk
2025-06-19 08:45
21K
bindfs-doc-1.17.7-r1.apk
2025-06-19 08:45
9.1K
lizardfs-3.13.0-r17.apk
2025-06-19 08:45
106K
lizardfs-bash-completion-3.13.0-r17.apk
2025-06-19 08:45
1.9K
lizardfs-cgi-3.13.0-r17.apk
2025-06-19 08:45
31K
lizardfs-cgiserv-3.13.0-r17.apk
2025-06-19 08:45
7.4K
lizardfs-cgiserv-openrc-3.13.0-r17.apk
2025-06-19 08:45
2.0K
lizardfs-chunkserver-3.13.0-r17.apk
2025-06-19 08:45
311K
lizardfs-chunkserver-openrc-3.13.0-r17.apk
2025-06-19 08:45
1.7K
lizardfs-client-3.13.0-r17.apk
2025-06-19 08:45
1.1M
lizardfs-doc-3.13.0-r17.apk
2025-06-19 08:45
11K
lizardfs-master-3.13.0-r17.apk
2025-06-19 08:45
842K
lizardfs-master-openrc-3.13.0-r17.apk
2025-06-19 08:45
1.6K
lizardfs-metalogger-3.13.0-r17.apk
2025-06-19 08:45
126K
lizardfs-metalogger-openrc-3.13.0-r17.apk
2025-06-19 08:45
1.6K
moosefs-4.56.6-r2.apk
2025-06-19 08:45
264K
moosefs-cgi-4.56.6-r2.apk
2025-06-19 08:45
121K
moosefs-cgiserv-4.56.6-r2.apk
2025-06-19 08:45
7.8K
moosefs-cgiserv-openrc-4.56.6-r2.apk
2025-06-19 08:45
2.0K
moosefs-chunkserver-4.56.6-r2.apk
2025-06-19 08:45
202K
moosefs-chunkserver-openrc-4.56.6-r2.apk
2025-06-19 08:45
1.7K
moosefs-client-4.56.6-r2.apk
2025-06-19 08:45
636K
moosefs-doc-4.56.6-r2.apk
2025-06-19 08:45
95K
moosefs-master-4.56.6-r2.apk
2025-06-19 08:45
364K
moosefs-master-openrc-4.56.6-r2.apk
2025-06-19 08:45
1.7K
moosefs-metalogger-4.56.6-r2.apk
2025-06-19 08:45
39K
moosefs-metalogger-openrc-4.56.6-r2.apk
2025-06-19 08:45
1.7K
moosefs-static-4.56.6-r2.apk
2025-06-19 08:45
697K
tup-0.7.11-r1.apk
2025-06-19 08:45
230K
tup-doc-0.7.11-r1.apk
2025-06-19 08:45
21K
tup-vim-0.7.11-r1.apk
2025-06-19 08:45
2.5K
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2025-06-19 10:55
2.7K
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
2025-06-19 10:55
3.3K
perl-moosex-nonmoose-0.27-r1.apk
2025-06-19 10:55
8.2K
perl-moosex-nonmoose-doc-0.27-r1.apk
2025-06-19 10:55
9.4K
flatseal-2.3.1-r0.apk
2025-06-19 15:30
43K
flatseal-doc-2.3.1-r0.apk
2025-06-19 15:30
8.5K
flatseal-lang-2.3.1-r0.apk
2025-06-19 15:30
79K
nwipe-0.38-r0.apk
2025-06-19 17:34
270K
nwipe-doc-0.38-r0.apk
2025-06-19 17:34
3.8K
tayga-0.9.5-r0.apk
2025-06-20 07:58
27K
tayga-doc-0.9.5-r0.apk
2025-06-20 07:58
6.1K
perl-hash-merge-extra-0.06-r0.apk
2025-06-20 15:18
3.1K
perl-hash-merge-extra-doc-0.06-r0.apk
2025-06-20 15:18
3.4K
pihole-6.2.3-r0.apk
2025-06-20 18:05
5.6M
pihole-bash-completion-6.2.3-r0.apk
2025-06-20 18:05
2.2K
pihole-doc-6.2.3-r0.apk
2025-06-20 18:05
3.8K
pihole-openrc-6.2.3-r0.apk
2025-06-20 18:05
1.8K
vlang-0.4.11-r0.apk
2025-06-21 13:57
30M
sonarr-4.0.15.2941-r0.apk
2025-06-21 14:16
28M
sonarr-openrc-4.0.15.2941-r0.apk
2025-06-21 14:16
2.0K
pdal-python-plugins-1.6.5-r0.apk
2025-06-21 21:43
249K
py3-pdal-3.4.5-r1.apk
2025-06-21 21:43
176K
py3-pdal-pyc-3.4.5-r1.apk
2025-06-21 21:43
13K
lemmy-0.19.12-r0.apk
2025-06-23 04:46
13M
lemmy-localdb-0.19.12-r0.apk
2025-06-23 04:46
2.4K
lemmy-openrc-0.19.12-r0.apk
2025-06-23 04:46
1.9K
lemmy-ui-0.19.12-r0.apk
2025-06-23 04:46
52M
lemmy-ui-openrc-0.19.12-r0.apk
2025-06-23 04:46
2.1K
bacon-3.16.0-r0.apk
2025-06-23 13:33
1.8M
openrdap-0.9.1-r0.apk
2025-06-24 15:50
3.4M
openrdap-doc-0.9.1-r0.apk
2025-06-24 15:50
2.2K
py3-simpleeval-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-simpleeval-pyc-1.0.3-r0.apk
2025-06-24 19:38
16K
android-file-transfer-4.5-r0.apk
2025-06-24 23:02
194K
android-file-transfer-cli-4.5-r0.apk
2025-06-24 23:02
111K
android-file-transfer-dev-4.5-r0.apk
2025-06-24 23:02
1.6K
android-file-transfer-libs-4.5-r0.apk
2025-06-24 23:02
129K
macchina-6.4.0-r0.apk
2025-06-25 03:45
1.0M
macchina-doc-6.4.0-r0.apk
2025-06-25 03:45
5.7K
hare-irc-0.25.2.0-r0.apk
2025-06-25 07:24
11K
lurk-0.3.10-r0.apk
2025-06-25 07:40
777K
lurk-doc-0.3.10-r0.apk
2025-06-25 07:40
9.7K
copyq-10.0.0-r0.apk
2025-06-25 14:11
2.6M
copyq-bash-completion-10.0.0-r0.apk
2025-06-25 14:11
2.3K
copyq-doc-10.0.0-r0.apk
2025-06-25 14:11
3.5K
lomiri-location-service-3.3.0-r4.apk
2025-06-26 14:06
2.1M
lomiri-location-service-dev-3.3.0-r4.apk
2025-06-26 14:06
30K
lomiri-location-service-doc-3.3.0-r4.apk
2025-06-26 14:06
2.9K
lomiri-location-service-lang-3.3.0-r4.apk
2025-06-26 14:06
26K
py3-caldav-2.0.1-r0.apk
2025-06-26 14:06
88K
py3-caldav-pyc-2.0.1-r0.apk
2025-06-26 14:06
118K
libre-3.23.0-r0.apk
2025-06-27 10:32
290K
libre-dev-3.23.0-r0.apk
2025-06-27 10:32
473K
py3-senf-1.5.0-r0.apk
2025-06-27 10:32
20K
py3-senf-pyc-1.5.0-r0.apk
2025-06-27 10:32
32K
srain-1.8.1-r0.apk
2025-06-27 10:32
161K
srain-lang-1.8.1-r0.apk
2025-06-27 10:32
35K
texmaker-6.0.1-r0.apk
2025-06-27 10:32
12M
mm-common-1.0.7-r0.apk
2025-06-27 16:39
414K
mm-common-doc-1.0.7-r0.apk
2025-06-27 16:39
33K
eclib-20250627-r0.apk
2025-06-28 06:16
328K
eclib-dev-20250627-r0.apk
2025-06-28 06:16
96K
eclib-doc-20250627-r0.apk
2025-06-28 06:16
28K
eclib-libs-20250627-r0.apk
2025-06-28 06:16
1.2M
eclib-static-20250627-r0.apk
2025-06-28 06:16
20M
xcompmgr-1.1.10-r0.apk
2025-06-28 11:25
14K
xcompmgr-doc-1.1.10-r0.apk
2025-06-28 11:25
2.6K
lomiri-app-launch-0.1.12-r1.apk
2025-06-28 18:17
327K
lomiri-app-launch-dev-0.1.12-r1.apk
2025-06-28 18:17
20K
lomiri-schemas-0.1.8-r1.apk
2025-06-28 18:17
10K
lomiri-trust-store-2.0.2-r10.apk
2025-06-28 18:17
949K
lomiri-trust-store-dev-2.0.2-r10.apk
2025-06-28 18:17
9.1K
lomiri-trust-store-lang-2.0.2-r10.apk
2025-06-28 18:17
28K
lomiri-ui-toolkit-1.3.5110-r1.apk
2025-06-28 18:17
1.3M
lomiri-ui-toolkit-dev-1.3.5110-r1.apk
2025-06-28 18:17
168K
lomiri-ui-toolkit-lang-1.3.5110-r1.apk
2025-06-28 18:17
97K
qtmir-0.7.2_git20250407-r1.apk
2025-06-28 18:17
505K
qtmir-dev-0.7.2_git20250407-r1.apk
2025-06-28 18:17
6.6K
pure-data-0.55.2-r0.apk
2025-06-29 17:18
1.7M
pure-data-dev-0.55.2-r0.apk
2025-06-29 17:18
53K
pure-data-doc-0.55.2-r0.apk
2025-06-29 17:18
5.4M
pure-data-libs-0.55.2-r0.apk
2025-06-29 17:18
642K
py3-tokenizers-0.21.2-r0.apk
2025-06-29 22:41
1.7M
py3-tokenizers-pyc-0.21.2-r0.apk
2025-06-29 22:41
29K
apache2-mod-perl-2.0.13-r2.apk
2025-06-30 08:08
657K
apache2-mod-perl-dbg-2.0.13-r2.apk
2025-06-30 08:08
62K
apache2-mod-perl-dev-2.0.13-r2.apk
2025-06-30 08:08
38K
apache2-mod-perl-doc-2.0.13-r2.apk
2025-06-30 08:08
304K
dnssec-tools-2.2.3-r13.apk
2025-06-30 08:08
752K
dnssec-tools-dev-2.2.3-r13.apk
2025-06-30 08:08
188K
dnssec-tools-doc-2.2.3-r13.apk
2025-06-30 08:08
317K
flowd-0.9.1-r11.apk
2025-06-30 08:08
77K
flowd-dev-0.9.1-r11.apk
2025-06-30 08:08
8.1K
flowd-doc-0.9.1-r11.apk
2025-06-30 08:08
10K
flowd-openrc-0.9.1-r11.apk
2025-06-30 08:08
1.9K
hw-probe-1.6.6-r2.apk
2025-06-30 08:08
124K
openwsman-2.8.1-r1.apk
2025-06-30 08:08
46K
openwsman-dev-2.8.1-r1.apk
2025-06-30 08:08
56K
openwsman-doc-2.8.1-r1.apk
2025-06-30 08:08
2.4K
openwsman-libs-2.8.1-r1.apk
2025-06-30 08:08
315K
perl-algorithm-permute-0.17-r1.apk
2025-06-30 08:08
12K
perl-algorithm-permute-doc-0.17-r1.apk
2025-06-30 08:08
5.1K
perl-alien-libgumbo-0.05-r1.apk
2025-06-30 08:08
576K
perl-alien-libgumbo-doc-0.05-r1.apk
2025-06-30 08:08
4.8K
perl-autobox-3.0.2-r1.apk
2025-06-30 08:08
19K
perl-autobox-doc-3.0.2-r1.apk
2025-06-30 08:08
8.9K
perl-b-hooks-op-check-0.22-r1.apk
2025-06-30 08:08
6.4K
perl-b-hooks-op-check-doc-0.22-r1.apk
2025-06-30 08:08
3.8K
perl-b-utils-0.27-r1.apk
2025-06-30 08:08
19K
perl-b-utils-doc-0.27-r1.apk
2025-06-30 08:08
9.5K
perl-barcode-zbar-0.10-r4.apk
2025-06-30 08:08
29K
perl-barcode-zbar-doc-0.10-r4.apk
2025-06-30 08:08
13K
perl-bareword-filehandles-0.007-r1.apk
2025-06-30 08:08
5.8K
perl-bareword-filehandles-doc-0.007-r1.apk
2025-06-30 08:08
3.2K
perl-bsd-resource-1.2911-r11.apk
2025-06-30 08:08
18K
perl-bsd-resource-doc-1.2911-r11.apk
2025-06-30 08:08
7.9K
perl-cairo-1.109-r5.apk
2025-06-30 08:08
74K
perl-cairo-doc-1.109-r5.apk
2025-06-30 08:08
14K
perl-cairo-gobject-1.005-r5.apk
2025-06-30 08:08
6.3K
perl-cairo-gobject-doc-1.005-r5.apk
2025-06-30 08:08
3.0K
perl-check-unitcheck-0.13-r2.apk
2025-06-30 08:08
5.8K
perl-check-unitcheck-doc-0.13-r2.apk
2025-06-30 08:08
3.6K
perl-conf-libconfig-1.0.3-r2.apk
2025-06-30 08:08
24K
perl-conf-libconfig-doc-1.0.3-r2.apk
2025-06-30 08:08
5.5K
perl-crypt-blowfish-2.14-r1.apk
2025-06-30 08:08
12K
perl-crypt-blowfish-doc-2.14-r1.apk
2025-06-30 08:08
4.1K
perl-data-checks-0.10-r1.apk
2025-06-30 08:08
22K
perl-data-checks-doc-0.10-r1.apk
2025-06-30 08:08
8.3K
perl-data-clone-0.006-r1.apk
2025-06-30 08:08
9.6K
perl-data-clone-doc-0.006-r1.apk
2025-06-30 08:08
4.5K
perl-data-dump-streamer-2.42-r1.apk
2025-06-30 08:08
50K
perl-data-dump-streamer-doc-2.42-r1.apk
2025-06-30 08:08
17K
perl-devel-leak-0.03-r14.apk
2025-06-30 08:08
6.6K
perl-devel-leak-doc-0.03-r14.apk
2025-06-30 08:08
3.4K
perl-devel-nytprof-6.14-r1.apk
2025-06-30 08:08
390K
perl-devel-nytprof-doc-6.14-r1.apk
2025-06-30 08:08
51K
perl-devel-refcount-0.10-r2.apk
2025-06-30 08:08
6.0K
perl-devel-refcount-doc-0.10-r2.apk
2025-06-30 08:08
4.3K
perl-digest-crc-0.24-r2.apk
2025-06-30 08:08
9.1K
perl-digest-crc-doc-0.24-r2.apk
2025-06-30 08:08
3.3K
perl-dns-unbound-0.29-r2.apk
2025-06-30 08:08
23K
perl-dns-unbound-anyevent-0.29-r2.apk
2025-06-30 08:08
2.3K
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk
2025-06-30 08:08
1.9K
perl-dns-unbound-doc-0.29-r2.apk
2025-06-30 08:08
15K
perl-dns-unbound-ioasync-0.29-r2.apk
2025-06-30 08:08
2.4K
perl-dns-unbound-mojo-0.29-r2.apk
2025-06-30 08:08
2.7K
perl-encode-detect-1.01-r1.apk
2025-06-30 08:08
71K
perl-encode-detect-doc-1.01-r1.apk
2025-06-30 08:08
4.8K
perl-ev-hiredis-0.07-r3.apk
2025-06-30 08:08
12K
perl-ev-hiredis-doc-0.07-r3.apk
2025-06-30 08:08
4.2K
perl-ffi-platypus-2.10-r1.apk
2025-06-30 08:08
181K
perl-ffi-platypus-doc-2.10-r1.apk
2025-06-30 08:08
148K
perl-file-mmagic-xs-0.09008-r5.apk
2025-06-30 08:08
29K
perl-file-mmagic-xs-doc-0.09008-r5.apk
2025-06-30 08:08
4.3K
perl-flowd-0.9.1-r11.apk
2025-06-30 08:08
21K
perl-flowd-doc-0.9.1-r11.apk
2025-06-30 08:08
3.3K
perl-future-asyncawait-hooks-0.02-r1.apk
2025-06-30 08:08
7.9K
perl-future-asyncawait-hooks-doc-0.02-r1.apk
2025-06-30 08:08
3.3K
perl-git-raw-0.90-r4.apk
2025-06-30 08:08
169K
perl-git-raw-doc-0.90-r4.apk
2025-06-30 08:08
119K
perl-glib-object-introspection-0.051-r2.apk
2025-06-30 08:08
59K
perl-glib-object-introspection-doc-0.051-r2.apk
2025-06-30 08:08
11K
perl-gtk2-1.24993-r7.apk
2025-06-30 08:08
854K
perl-gtk2-doc-1.24993-r7.apk
2025-06-30 08:08
679K
perl-guard-1.023-r10.apk
2025-06-30 08:08
8.3K
perl-guard-doc-1.023-r10.apk
2025-06-30 08:08
5.3K
perl-html-gumbo-0.18-r2.apk
2025-06-30 08:08
14K
perl-html-gumbo-doc-0.18-r2.apk
2025-06-30 08:08
5.4K
perl-http-xsheaders-0.400005-r2.apk
2025-06-30 08:08
19K
perl-http-xsheaders-doc-0.400005-r2.apk
2025-06-30 08:08
6.4K
perl-imager-1.028-r1.apk
2025-06-30 08:08
526K
perl-imager-doc-1.028-r1.apk
2025-06-30 08:08
286K
perl-indirect-0.39-r2.apk
2025-06-30 08:08
15K
perl-indirect-doc-0.39-r2.apk
2025-06-30 08:08
6.4K
perl-libapreq2-2.17-r3.apk
2025-06-30 08:08
98K
perl-libapreq2-dev-2.17-r3.apk
2025-06-30 08:08
55K
perl-libapreq2-doc-2.17-r3.apk
2025-06-30 08:08
37K
perl-linux-pid-0.04-r15.apk
2025-06-30 08:08
4.6K
perl-linux-pid-doc-0.04-r15.apk
2025-06-30 08:08
3.1K
perl-list-binarysearch-xs-0.09-r2.apk
2025-06-30 08:08
12K
perl-list-binarysearch-xs-doc-0.09-r2.apk
2025-06-30 08:08
8.1K
perl-list-keywords-0.11-r1.apk
2025-06-30 08:08
14K
perl-list-keywords-doc-0.11-r1.apk
2025-06-30 08:08
5.6K
perl-math-int64-0.57-r2.apk
2025-06-30 08:08
28K
perl-math-int64-doc-0.57-r2.apk
2025-06-30 08:08
11K
perl-math-libm-1.00-r15.apk
2025-06-30 08:08
10K
perl-math-libm-doc-1.00-r15.apk
2025-06-30 08:08
3.2K
perl-math-random-0.72-r1.apk
2025-06-30 08:08
36K
perl-math-random-doc-0.72-r1.apk
2025-06-30 08:08
11K
perl-math-random-isaac-xs-1.004-r9.apk
2025-06-30 08:08
7.7K
perl-math-random-isaac-xs-doc-1.004-r9.apk
2025-06-30 08:08
3.8K
perl-multidimensional-0.014-r1.apk
2025-06-30 08:08
4.7K
perl-multidimensional-doc-0.014-r1.apk
2025-06-30 08:08
3.2K
perl-musicbrainz-discid-0.06-r2.apk
2025-06-30 08:08
9.1K
perl-musicbrainz-discid-doc-0.06-r2.apk
2025-06-30 08:08
4.4K
perl-net-amqp-rabbitmq-2.40012-r1.apk
2025-06-30 08:08
77K
perl-net-amqp-rabbitmq-doc-2.40012-r1.apk
2025-06-30 08:08
9.8K
perl-net-async-redis-xs-1.001-r2.apk
2025-06-30 08:08
8.8K
perl-net-async-redis-xs-doc-1.001-r2.apk
2025-06-30 08:08
5.4K
perl-net-curl-0.57-r1.apk
2025-06-30 08:08
62K
perl-net-curl-doc-0.57-r1.apk
2025-06-30 08:08
39K
perl-net-idn-encode-2.500-r2.apk
2025-06-30 08:08
83K
perl-net-idn-encode-doc-2.500-r2.apk
2025-06-30 08:08
22K
perl-object-pad-fieldattr-checked-0.12-r1.apk
2025-06-30 08:08
8.2K
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk
2025-06-30 08:08
4.5K
perl-pango-1.227-r12.apk
2025-06-30 08:08
78K
perl-pango-doc-1.227-r12.apk
2025-06-30 08:08
82K
perl-perlio-locale-0.10-r13.apk
2025-06-30 08:08
4.4K
perl-perlio-locale-doc-0.10-r13.apk
2025-06-30 08:08
3.1K
perl-ppi-xs-0.910-r2.apk
2025-06-30 08:08
5.6K
perl-ppi-xs-doc-0.910-r2.apk
2025-06-30 08:08
3.4K
perl-promise-xs-0.20-r2.apk
2025-06-30 08:08
23K
perl-promise-xs-doc-0.20-r2.apk
2025-06-30 08:08
8.8K
perl-ref-util-xs-0.117-r9.apk
2025-06-30 08:08
9.8K
perl-ref-util-xs-doc-0.117-r9.apk
2025-06-30 08:08
3.5K
perl-scalar-readonly-0.03-r2.apk
2025-06-30 08:08
5.2K
perl-scalar-readonly-doc-0.03-r2.apk
2025-06-30 08:08
3.4K
perl-signature-attribute-checked-0.06-r1.apk
2025-06-30 08:08
7.7K
perl-signature-attribute-checked-doc-0.06-r1.apk
2025-06-30 08:08
4.6K
perl-snmp-5.0404-r14.apk
2025-06-30 08:08
69K
perl-snmp-doc-5.0404-r14.apk
2025-06-30 08:08
14K
perl-string-compare-constanttime-0.321-r7.apk
2025-06-30 08:08
7.1K
perl-string-compare-constanttime-doc-0.321-r7.apk
2025-06-30 08:08
5.3K
perl-string-crc32-2.100-r5.apk
2025-06-30 08:08
6.8K
perl-string-crc32-doc-2.100-r5.apk
2025-06-30 08:08
3.4K
perl-syntax-keyword-match-0.15-r1.apk
2025-06-30 08:08
14K
perl-syntax-keyword-match-doc-0.15-r1.apk
2025-06-30 08:08
7.8K
perl-syntax-operator-equ-0.10-r1.apk
2025-06-30 08:08
7.8K
perl-syntax-operator-equ-doc-0.10-r1.apk
2025-06-30 08:08
6.6K
perl-syntax-operator-in-0.10-r1.apk
2025-06-30 08:08
9.4K
perl-syntax-operator-in-doc-0.10-r1.apk
2025-06-30 08:08
5.9K
perl-term-size-0.211-r5.apk
2025-06-30 08:08
5.6K
perl-term-size-doc-0.211-r5.apk
2025-06-30 08:08
3.8K
perl-time-moment-0.44-r1.apk
2025-06-30 08:08
38K
perl-time-moment-doc-0.44-r1.apk
2025-06-30 08:08
31K
perl-time-timegm-0.01-r10.apk
2025-06-30 08:08
6.5K
perl-time-timegm-doc-0.01-r10.apk
2025-06-30 08:08
3.9K
perl-xml-bare-0.53-r14.apk
2025-06-30 08:08
28K
perl-xml-bare-doc-0.53-r14.apk
2025-06-30 08:08
11K
pptpclient-1.10.0-r6.apk
2025-06-30 08:08
32K
pptpclient-doc-1.10.0-r6.apk
2025-06-30 08:08
7.2K
silc-client-1.1.11-r18.apk
2025-06-30 08:08
860K
silc-client-doc-1.1.11-r18.apk
2025-06-30 08:08
82K
spvm-errno-0.093-r1.apk
2025-06-30 08:08
17K
spvm-errno-doc-0.093-r1.apk
2025-06-30 08:08
6.0K
spvm-math-1.006-r1.apk
2025-06-30 08:08
22K
spvm-math-doc-1.006-r1.apk
2025-06-30 08:08
6.9K
spvm-mime-base64-1.003-r1.apk
2025-06-30 08:08
15K
spvm-mime-base64-doc-1.003-r1.apk
2025-06-30 08:08
5.5K
spvm-thread-0.003-r1.apk
2025-06-30 08:08
11K
spvm-thread-doc-0.003-r1.apk
2025-06-30 08:08
6.0K
vile-9.8z_p1-r1.apk
2025-06-30 08:08
781K
vile-common-9.8z_p1-r1.apk
2025-06-30 08:08
354K
vile-doc-9.8z_p1-r1.apk
2025-06-30 08:08
357K
xvile-9.8z_p1-r1.apk
2025-06-30 08:08
809K
video-trimmer-25.03-r0.apk
2025-06-30 13:45
372K
video-trimmer-lang-25.03-r0.apk
2025-06-30 13:45
93K
kdiskmark-3.2.0-r0.apk
2025-06-30 16:46
171K
kdiskmark-lang-3.2.0-r0.apk
2025-06-30 16:46
32K
rizin-0.8.1-r0.apk
2025-06-30 16:49
2.7M
rizin-cutter-2.4.1-r0.apk
2025-06-30 16:49
2.5M
rizin-cutter-dev-2.4.1-r0.apk
2025-06-30 16:49
103K
rizin-dev-0.8.1-r0.apk
2025-06-30 16:49
323K
rizin-doc-0.8.1-r0.apk
2025-06-30 16:49
19K
rizin-libs-0.8.1-r0.apk
2025-06-30 16:49
5.2M
sudo-ldap-1.9.17_p1-r0.apk
2025-07-01 18:58
807K
notification-daemon-3.20.0-r1.apk
2025-07-01 22:41
58K
dmenu-wl-0.1-r0.apk
2025-07-02 10:32
17K
dmenu-wl-doc-0.1-r0.apk
2025-07-02 10:32
4.1K
singular-4.4.1_p2-r0.apk
2025-07-02 17:18
10M
singular-dev-4.4.1_p2-r0.apk
2025-07-02 17:18
359K
singular-doc-4.4.1_p2-r0.apk
2025-07-02 17:18
1.3M
singular-emacs-4.4.1_p2-r0.apk
2025-07-02 17:18
102K
singular-static-4.4.1_p2-r0.apk
2025-07-02 17:18
5.8M
php85-pecl-uploadprogress-2.0.2-r0.apk
2025-07-02 18:59
6.3K
php85-pecl-uploadprogress-doc-2.0.2-r0.apk
2025-07-02 18:59
9.6K
php85-pecl-xhprof-2.3.10-r0.apk
2025-07-02 18:59
12K
php85-pecl-xhprof-assets-2.3.10-r0.apk
2025-07-02 18:59
807K
php81-spx-0.4.20-r0.apk
2025-07-02 21:01
106K
php81-8.1.33-r0.apk
2025-07-02 22:16
1.8M
php81-apache2-8.1.33-r0.apk
2025-07-02 22:16
1.7M
php81-bcmath-8.1.33-r0.apk
2025-07-02 22:16
17K
php81-bz2-8.1.33-r0.apk
2025-07-02 22:16
9.7K
php81-calendar-8.1.33-r0.apk
2025-07-02 22:16
12K
php81-cgi-8.1.33-r0.apk
2025-07-02 22:16
1.7M
php81-common-8.1.33-r0.apk
2025-07-02 22:16
25K
php81-ctype-8.1.33-r0.apk
2025-07-02 22:16
4.9K
php81-curl-8.1.33-r0.apk
2025-07-02 22:16
33K
php81-dba-8.1.33-r0.apk
2025-07-02 22:16
22K
php81-dev-8.1.33-r0.apk
2025-07-02 22:16
939K
php81-doc-8.1.33-r0.apk
2025-07-02 22:16
68K
php81-dom-8.1.33-r0.apk
2025-07-02 22:16
59K
php81-embed-8.1.33-r0.apk
2025-07-02 22:16
1.7M
php81-enchant-8.1.33-r0.apk
2025-07-02 22:16
8.3K
php81-exif-8.1.33-r0.apk
2025-07-02 22:16
29K
php81-ffi-8.1.33-r0.apk
2025-07-02 22:16
66K
php81-fileinfo-8.1.33-r0.apk
2025-07-02 22:16
378K
php81-fpm-8.1.33-r0.apk
2025-07-02 22:16
1.8M
php81-ftp-8.1.33-r0.apk
2025-07-02 22:16
23K
php81-gd-8.1.33-r0.apk
2025-07-02 22:16
123K
php81-gettext-8.1.33-r0.apk
2025-07-02 22:16
5.6K
php81-gmp-8.1.33-r0.apk
2025-07-02 22:16
20K
php81-iconv-8.1.33-r0.apk
2025-07-02 22:16
17K
php81-imap-8.1.33-r0.apk
2025-07-02 22:16
32K
php81-intl-8.1.33-r0.apk
2025-07-02 22:16
133K
php81-ldap-8.1.33-r0.apk
2025-07-02 22:16
30K
php81-litespeed-8.1.33-r0.apk
2025-07-02 22:16
1.8M
php81-mbstring-8.1.33-r0.apk
2025-07-02 22:16
568K
php81-mysqli-8.1.33-r0.apk
2025-07-02 22:16
39K
php81-mysqlnd-8.1.33-r0.apk
2025-07-02 22:16
77K
php81-odbc-8.1.33-r0.apk
2025-07-02 22:16
23K
php81-opcache-8.1.33-r0.apk
2025-07-02 22:16
367K
php81-openssl-8.1.33-r0.apk
2025-07-02 22:16
70K
php81-pcntl-8.1.33-r0.apk
2025-07-02 22:16
13K
php81-pdo-8.1.33-r0.apk
2025-07-02 22:16
40K
php81-pdo_dblib-8.1.33-r0.apk
2025-07-02 22:16
12K
php81-pdo_mysql-8.1.33-r0.apk
2025-07-02 22:16
13K
php81-pdo_odbc-8.1.33-r0.apk
2025-07-02 22:16
12K
php81-pdo_pgsql-8.1.33-r0.apk
2025-07-02 22:16
19K
php81-pdo_sqlite-8.1.33-r0.apk
2025-07-02 22:16
12K
php81-pear-8.1.33-r0.apk
2025-07-02 22:16
338K
php81-pgsql-8.1.33-r0.apk
2025-07-02 22:16
43K
php81-phar-8.1.33-r0.apk
2025-07-02 22:16
120K
php81-phpdbg-8.1.33-r0.apk
2025-07-02 22:16
1.8M
php81-posix-8.1.33-r0.apk
2025-07-02 22:16
11K
php81-pspell-8.1.33-r0.apk
2025-07-02 22:16
7.8K
php81-session-8.1.33-r0.apk
2025-07-02 22:16
35K
php81-shmop-8.1.33-r0.apk
2025-07-02 22:16
5.9K
php81-simplexml-8.1.33-r0.apk
2025-07-02 22:16
22K
php81-snmp-8.1.33-r0.apk
2025-07-02 22:16
20K
php81-soap-8.1.33-r0.apk
2025-07-02 22:16
134K
php81-sockets-8.1.33-r0.apk
2025-07-02 22:16
34K
php81-sodium-8.1.33-r0.apk
2025-07-02 22:16
25K
php81-sqlite3-8.1.33-r0.apk
2025-07-02 22:16
20K
php81-sysvmsg-8.1.33-r0.apk
2025-07-02 22:16
7.3K
php81-sysvsem-8.1.33-r0.apk
2025-07-02 22:16
5.5K
php81-sysvshm-8.1.33-r0.apk
2025-07-02 22:16
6.4K
php81-tidy-8.1.33-r0.apk
2025-07-02 22:16
18K
php81-tokenizer-8.1.33-r0.apk
2025-07-02 22:16
11K
php81-xml-8.1.33-r0.apk
2025-07-02 22:16
18K
php81-xmlreader-8.1.33-r0.apk
2025-07-02 22:16
13K
php81-xmlwriter-8.1.33-r0.apk
2025-07-02 22:16
11K
php81-xsl-8.1.33-r0.apk
2025-07-02 22:16
13K
php81-zip-8.1.33-r0.apk
2025-07-02 22:16
24K
tcl9-9.0.2-r0.apk
2025-07-03 08:13
1.8M
tcl9-dev-9.0.2-r0.apk
2025-07-03 08:13
184K
tcl9-doc-9.0.2-r0.apk
2025-07-03 08:13
1.4M
tk9-9.0.2-r0.apk
2025-07-03 08:13
830K
tk9-dev-9.0.2-r0.apk
2025-07-03 08:13
81K
tk9-doc-9.0.2-r0.apk
2025-07-03 08:13
1.3M
apostrophe-revealjs-5.2.1-r0.apk
2025-07-03 10:58
2.4M
cups-pdf-3.0.2-r0.apk
2025-07-04 21:11
22K
igt-gpu-tools-2.1-r0.apk
2025-07-05 10:07
4.7M
igt-gpu-tools-dev-2.1-r0.apk
2025-07-05 10:07
16K
nzbget-25.2-r0.apk
2025-07-05 10:07
5.0M
nzbget-openrc-25.2-r0.apk
2025-07-05 10:07
2.1K
way-displays-1.14.1-r0.apk
2025-07-05 16:46
104K
way-displays-doc-1.14.1-r0.apk
2025-07-05 16:46
4.6K
openocd-esp32-0_git20250422-r1.apk
2025-07-05 19:52
1.9M
openocd-esp32-dev-0_git20250422-r1.apk
2025-07-05 19:52
3.7K
openocd-esp32-doc-0_git20250422-r1.apk
2025-07-05 19:52
3.2K
openocd-esp32-udev-rules-0_git20250422-r1.apk
2025-07-05 19:52
3.5K
deviced-0_git20250427-r0.apk
2025-07-05 20:03
119K
deviced-dev-0_git20250427-r0.apk
2025-07-05 20:03
26K
deviced-openrc-0_git20250427-r0.apk
2025-07-05 20:03
1.7K
curtail-1.13.0-r0.apk
2025-07-05 20:40
30K
curtail-lang-1.13.0-r0.apk
2025-07-05 20:40
78K
pinentry-bemenu-0.14.0-r1.apk
2025-07-06 00:17
8.2K
darts-clone-0.32h-r0.apk
2025-07-06 07:09
38K
darts-clone-dev-0.32h-r0.apk
2025-07-06 07:09
13K
xfce4-panel-profiles-1.1.1-r0.apk
2025-07-06 09:16
58K
xfce4-panel-profiles-doc-1.1.1-r0.apk
2025-07-06 09:16
20K
xfce4-panel-profiles-lang-1.1.1-r0.apk
2025-07-06 09:16
53K
py3-chameleon-4.6.0-r0.apk
2025-07-06 09:16
97K
py3-chameleon-pyc-4.6.0-r0.apk
2025-07-06 09:16
131K
android-apktool-2.12.0-r0.apk
2025-07-06 14:14
24M
perl-devel-trace-0.12-r0.apk
2025-07-06 19:16
3.4K
perl-devel-trace-doc-0.12-r0.apk
2025-07-06 19:16
3.5K
ruby-ansi-1.5.0-r0.apk
2025-07-06 19:16
26K
ruby-minitest-autotest-1.1.1-r0.apk
2025-07-06 19:16
14K
ruby-minitest-focus-1.3.1-r0.apk
2025-07-06 19:16
5.2K
ruby-minitest-power_assert-0.3.1-r0.apk
2025-07-06 19:16
2.2K
ruby-minitest-proveit-1.0.0-r0.apk
2025-07-06 19:16
4.8K
ruby-minitest-reporters-1.7.1-r0.apk
2025-07-06 19:16
20K
ruby-minitest-server-1.0.8-r0.apk
2025-07-06 19:16
5.4K
ruby-path_expander-1.1.3-r0.apk
2025-07-06 19:16
6.4K
ruby-ruby-progressbar-1.13.0-r0.apk
2025-07-06 19:16
25K
varnish-modules-0.26.0-r0.apk
2025-07-06 19:16
40K
varnish-modules-doc-0.26.0-r0.apk
2025-07-06 19:16
22K
py3-dateparser-1.2.2-r0.apk
2025-07-06 19:46
216K
py3-dateparser-pyc-1.2.2-r0.apk
2025-07-06 19:46
335K
waifu2x-converter-cpp-5.3.4-r9.apk
2025-07-07 07:43
12M
eccodes-2.42.0-r0.apk
2025-07-07 16:01
11M
py3-schema-0.7.7-r0.apk
2025-07-07 16:14
19K
py3-schema-pyc-0.7.7-r0.apk
2025-07-07 16:14
21K
perl-smart-comments-1.06-r0.apk
2025-07-07 17:02
12K
perl-smart-comments-doc-1.06-r0.apk
2025-07-07 17:02
8.5K
perl-data-section-0.200008-r0.apk
2025-07-07 17:02
6.4K
perl-data-section-doc-0.200008-r0.apk
2025-07-07 17:02
5.6K
catfish-4.20.1-r0.apk
2025-07-07 19:17
128K
catfish-doc-4.20.1-r0.apk
2025-07-07 19:17
13K
catfish-lang-4.20.1-r0.apk
2025-07-07 19:17
167K
catfish-pyc-4.20.1-r0.apk
2025-07-07 19:17
104K
py3-xsdata-25.7-r0.apk
2025-07-07 20:47
190K
py3-xsdata-pyc-25.7-r0.apk
2025-07-07 20:47
393K
libtsm-4.1.0-r0.apk
2025-07-08 06:35
26K
libtsm-dev-4.1.0-r0.apk
2025-07-08 06:35
11K
py3-svgpath-7.0-r0.apk
2025-07-08 06:37
19K
py3-svgpath-pyc-7.0-r0.apk
2025-07-08 06:37
24K
perl-software-license-0.104007-r0.apk
2025-07-08 16:53
107K
perl-software-license-doc-0.104007-r0.apk
2025-07-08 16:53
50K
perl-date-range-1.41-r0.apk
2025-07-08 16:53
3.8K
perl-date-range-doc-1.41-r0.apk
2025-07-08 16:53
4.0K
perl-xml-xpathengine-0.14-r0.apk
2025-07-08 16:54
22K
perl-xml-xpathengine-doc-0.14-r0.apk
2025-07-08 16:54
11K
honeybee-0.2.0-r1.apk
2025-07-08 23:26
1.6M
honeybee-doc-0.2.0-r1.apk
2025-07-08 23:26
3.4K
py3-hishel-0.1.3-r0.apk
2025-07-08 23:26
33K
py3-hishel-pyc-0.1.3-r0.apk
2025-07-08 23:26
73K
mdp-1.0.18-r0.apk
2025-07-10 05:38
16K
mdp-doc-1.0.18-r0.apk
2025-07-10 05:38
3.9K
perl-array-diff-0.09-r0.apk
2025-07-10 05:38
3.3K
perl-array-diff-doc-0.09-r0.apk
2025-07-10 05:38
3.9K
perl-data-binary-0.01-r0.apk
2025-07-10 05:38
2.8K
perl-data-binary-doc-0.01-r0.apk
2025-07-10 05:38
3.1K
perl-html-treebuilder-xpath-0.14-r0.apk
2025-07-10 05:38
7.8K
perl-html-treebuilder-xpath-doc-0.14-r0.apk
2025-07-10 05:38
4.2K
materia-20210322-r3.apk
2025-07-10 17:09
1.7K
materia-chromium-20210322-r3.apk
2025-07-10 17:09
5.7K
materia-compact-20210322-r3.apk
2025-07-10 17:09
1.7K
materia-compact-chromium-20210322-r3.apk
2025-07-10 17:09
5.7K
materia-compact-gnome-shell-20210322-r3.apk
2025-07-10 17:09
29K
materia-compact-gtk2-20210322-r3.apk
2025-07-10 17:09
35K
materia-compact-gtk3-20210322-r3.apk
2025-07-10 17:09
63K
materia-compact-gtk4-20210322-r3.apk
2025-07-10 17:09
43K
materia-dark-20210322-r3.apk
2025-07-10 17:09
1.7K
materia-dark-chromium-20210322-r3.apk
2025-07-10 17:09
5.7K
materia-dark-compact-20210322-r3.apk
2025-07-10 17:09
1.7K
materia-dark-compact-chromium-20210322-r3.apk
2025-07-10 17:09
5.7K
materia-dark-compact-gnome-shell-20210322-r3.apk
2025-07-10 17:09
29K
materia-dark-compact-gtk2-20210322-r3.apk
2025-07-10 17:09
35K
materia-dark-compact-gtk3-20210322-r3.apk
2025-07-10 17:09
40K
materia-dark-compact-gtk4-20210322-r3.apk
2025-07-10 17:09
29K
materia-dark-gnome-shell-20210322-r3.apk
2025-07-10 17:09
29K
materia-dark-gtk2-20210322-r3.apk
2025-07-10 17:09
34K
materia-dark-gtk3-20210322-r3.apk
2025-07-10 17:09
40K
materia-dark-gtk4-20210322-r3.apk
2025-07-10 17:09
29K
materia-gnome-shell-20210322-r3.apk
2025-07-10 17:09
29K
materia-gtk-theme-20210322-r3.apk
2025-07-10 17:09
2.9K
materia-gtk2-20210322-r3.apk
2025-07-10 17:09
35K
materia-gtk3-20210322-r3.apk
2025-07-10 17:09
63K
materia-gtk4-20210322-r3.apk
2025-07-10 17:09
43K
steamguard-cli-0.17.1-r0.apk
2025-07-10 22:02
3.2M
steamguard-cli-bash-completion-0.17.1-r0.apk
2025-07-10 22:02
2.9K
steamguard-cli-zsh-completion-0.17.1-r0.apk
2025-07-10 22:02
4.2K
libsimpleble-0.10.3-r0.apk
2025-07-11 03:12
196K
libsimpleble-c-0.10.3-r0.apk
2025-07-11 03:12
15K
libsimplebluez-0.10.3-r0.apk
2025-07-11 03:12
142K
simpleble-0.10.3-r0.apk
2025-07-11 03:12
1.2K
simpleble-dev-0.10.3-r0.apk
2025-07-11 03:12
28K
minigalaxy-1.4.0-r0.apk
2025-07-11 03:36
197K
minigalaxy-pyc-1.4.0-r0.apk
2025-07-11 03:36
135K
zycore-1.5.0-r1.apk
2025-07-11 04:50
21K
zycore-dev-1.5.0-r1.apk
2025-07-11 04:50
37K
zycore-doc-1.5.0-r1.apk
2025-07-11 04:50
433K
perl-archive-any-lite-0.11-r0.apk
2025-07-11 08:46
4.1K
perl-archive-any-lite-doc-0.11-r0.apk
2025-07-11 08:46
3.7K
perl-file-treecreate-0.0.1-r0.apk
2025-07-11 08:46
4.0K
perl-file-treecreate-doc-0.0.1-r0.apk
2025-07-11 08:46
4.4K
perl-parse-distname-0.05-r0.apk
2025-07-11 08:46
5.5K
perl-parse-distname-doc-0.05-r0.apk
2025-07-11 08:46
4.4K
perl-file-find-object-0.3.9-r0.apk
2025-07-11 16:50
9.2K
perl-file-find-object-doc-0.3.9-r0.apk
2025-07-11 16:50
13K
perl-web-scraper-0.38-r0.apk
2025-07-11 16:50
7.5K
perl-web-scraper-doc-0.38-r0.apk
2025-07-11 16:50
8.1K
perl-test-perl-critic-1.04-r0.apk
2025-07-11 16:50
6.8K
perl-test-perl-critic-doc-1.04-r0.apk
2025-07-11 16:50
6.4K
font-terminus-ttf-4.49.3-r0.apk
2025-07-11 19:54
538K
py3-imageio-2.37.0-r0.apk
2025-07-11 22:13
285K
py3-imageio-pyc-2.37.0-r0.apk
2025-07-11 22:13
504K
deblob-0.11-r0.apk
2025-07-12 02:37
110K
deblob-doc-0.11-r0.apk
2025-07-12 02:37
3.8K
perl-regexp-trie-0.02-r0.apk
2025-07-12 07:22
3.0K
perl-regexp-trie-doc-0.02-r0.apk
2025-07-12 07:22
3.3K
mir-2.21.1-r0.apk
2025-07-12 11:29
2.1M
mir-demos-2.21.1-r0.apk
2025-07-12 11:29
145K
mir-dev-2.21.1-r0.apk
2025-07-12 11:29
8.0M
mir-test-tools-2.21.1-r0.apk
2025-07-12 11:29
270K
php81-pecl-opentelemetry-1.2.0-r0.apk
2025-07-12 13:10
12K
perl-finance-quote-1.66-r0.apk
2025-07-12 13:43
106K
perl-finance-quote-doc-1.66-r0.apk
2025-07-12 13:43
88K
perl-module-cpants-analyse-1.02-r0.apk
2025-07-12 13:43
27K
perl-module-cpants-analyse-doc-1.02-r0.apk
2025-07-12 13:43
28K
perl-prereqscanner-notquitelite-0.9917-r0.apk
2025-07-12 13:43
41K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
2025-07-12 13:43
49K
perl-test-kwalitee-1.28-r0.apk
2025-07-12 13:43
6.4K
perl-test-kwalitee-doc-1.28-r0.apk
2025-07-12 13:43
6.9K
py3-pcbnewtransition-0.5.2-r0.apk
2025-07-12 15:20
7.9K
py3-pcbnewtransition-pyc-0.5.2-r0.apk
2025-07-12 15:20
9.9K
py3-pathvalidate-3.3.1-r0.apk
2025-07-12 15:21
19K
py3-pathvalidate-pyc-3.3.1-r0.apk
2025-07-12 15:21
34K
py3-enzyme-0.5.2-r0.apk
2025-07-12 15:29
23K
py3-enzyme-pyc-0.5.2-r0.apk
2025-07-12 15:29
19K
py3-flask-accept-0.0.7-r0.apk
2025-07-12 15:29
5.6K
py3-flask-accept-pyc-0.0.7-r0.apk
2025-07-12 15:29
3.7K
octoprint-filecheck-2024.11.12-r0.apk
2025-07-12 16:07
28K
octoprint-filecheck-pyc-2024.11.12-r0.apk
2025-07-12 16:07
12K
piper-phonemize-2023.11.14.4-r9.apk
2025-07-12 16:07
9.0M
piper-phonemize-dev-2023.11.14.4-r9.apk
2025-07-12 16:07
394K
piper-phonemize-libs-2023.11.14.4-r9.apk
2025-07-12 16:07
69K
piper-tts-2023.11.14.2-r14.apk
2025-07-12 16:07
131K
piper-tts-dev-2023.11.14.2-r14.apk
2025-07-12 16:07
141K
py3-piper-phonemize-2023.11.14.4-r9.apk
2025-07-12 16:07
143K
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
2025-07-12 16:07
3.3K
py3-piper-tts-2023.11.14.2-r14.apk
2025-07-12 16:07
41K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
2025-07-12 16:07
11K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
2025-07-12 16:07
17K
py3-tidalapi-0.8.4-r0.apk
2025-07-12 16:08
50K
py3-tidalapi-pyc-0.8.4-r0.apk
2025-07-12 16:08
91K
py3-ly-0.9.9-r0.apk
2025-07-12 21:56
187K
py3-ly-doc-0.9.9-r0.apk
2025-07-12 21:56
8.1K
py3-ly-pyc-0.9.9-r0.apk
2025-07-12 21:56
352K
tomcat9-9.0.107-r0.apk
2025-07-13 16:30
6.7M
tomcat9-admin-9.0.107-r0.apk
2025-07-13 16:30
119K
tomcat9-doc-9.0.107-r0.apk
2025-07-13 16:30
1.7M
tomcat9-examples-9.0.107-r0.apk
2025-07-13 16:30
439K
tomcat9-openrc-9.0.107-r0.apk
2025-07-13 16:30
4.6K
py3-owslib-0.34.1-r0.apk
2025-07-13 19:28
195K
py3-owslib-pyc-0.34.1-r0.apk
2025-07-13 19:28
425K
yaru-common-25.10.1-r0.apk
2025-07-13 20:17
3.8M
yaru-icon-theme-25.10.1-r0.apk
2025-07-13 20:17
35M
yaru-icon-theme-bark-25.10.1-r0.apk
2025-07-13 20:17
1.1M
yaru-icon-theme-blue-25.10.1-r0.apk
2025-07-13 20:17
1.2M
yaru-icon-theme-magenta-25.10.1-r0.apk
2025-07-13 20:17
1.1M
yaru-icon-theme-mate-25.10.1-r0.apk
2025-07-13 20:17
1.3M
yaru-icon-theme-olive-25.10.1-r0.apk
2025-07-13 20:17
1.1M
yaru-icon-theme-prussiangreen-25.10.1-r0.apk
2025-07-13 20:17
1.2M
yaru-icon-theme-purple-25.10.1-r0.apk
2025-07-13 20:17
1.2M
yaru-icon-theme-red-25.10.1-r0.apk
2025-07-13 20:17
1.2M
yaru-icon-theme-sage-25.10.1-r0.apk
2025-07-13 20:17
1.2M
yaru-icon-theme-viridian-25.10.1-r0.apk
2025-07-13 20:17
1.2M
yaru-schemas-25.10.1-r0.apk
2025-07-13 20:17
1.8K
yaru-shell-25.10.1-r0.apk
2025-07-13 20:17
227K
yaru-sounds-25.10.1-r0.apk
2025-07-13 20:17
1.2M
yaru-theme-25.10.1-r0.apk
2025-07-13 20:17
840K
yaru-theme-bark-25.10.1-r0.apk
2025-07-13 20:17
763K
yaru-theme-blue-25.10.1-r0.apk
2025-07-13 20:17
766K
yaru-theme-hdpi-25.10.1-r0.apk
2025-07-13 20:17
73K
yaru-theme-magenta-25.10.1-r0.apk
2025-07-13 20:17
762K
yaru-theme-mate-25.10.1-r0.apk
2025-07-13 20:17
772K
yaru-theme-olive-25.10.1-r0.apk
2025-07-13 20:17
759K
yaru-theme-prussiangreen-25.10.1-r0.apk
2025-07-13 20:17
760K
yaru-theme-purple-25.10.1-r0.apk
2025-07-13 20:17
757K
yaru-theme-red-25.10.1-r0.apk
2025-07-13 20:17
760K
yaru-theme-sage-25.10.1-r0.apk
2025-07-13 20:17
763K
yaru-theme-viridian-25.10.1-r0.apk
2025-07-13 20:17
760K
flutter-3.32.6-r0.apk
2025-07-13 21:39
1.3K
flutter-common-3.32.6-r0.apk
2025-07-13 21:39
39M
flutter-desktop-3.32.6-r0.apk
2025-07-13 21:39
26M
flutter-developer-3.32.6-r0.apk
2025-07-13 21:39
2.2M
flutter-glfw-3.32.6-r0.apk
2025-07-13 21:39
5.3M
flutter-gtk-3.32.6-r0.apk
2025-07-13 21:39
5.3M
flutter-tool-3.32.6-r0.apk
2025-07-13 21:39
15M
flutter-tool-developer-3.32.6-r0.apk
2025-07-13 21:39
1.7K
fwallet-1.2.0-r5.apk
2025-07-13 21:39
8.2M
goguma-0.8.0-r2.apk
2025-07-13 21:39
4.5M
intiface-central-2.6.7-r1.apk
2025-07-13 21:39
11M
polycule-0.2.5_git20250604-r1.apk
2025-07-13 21:39
29M
sly-1.0.0-r1.apk
2025-07-13 21:39
3.9M
py3-evohome-client-0.3.9-r0.apk
2025-07-13 21:43
19K
py3-evohome-client-pyc-0.3.9-r0.apk
2025-07-13 21:43
27K
py3-sphinxcontrib-spelling-8.0.1-r0.apk
2025-07-13 21:43
13K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
2025-07-13 21:43
19K
py3-bookkeeper-4.17.2-r0.apk
2025-07-13 21:44
43K
py3-bookkeeper-pyc-4.17.2-r0.apk
2025-07-13 21:44
67K
py3-furl-2.1.4-r0.apk
2025-07-13 21:52
28K
py3-furl-pyc-2.1.4-r0.apk
2025-07-13 21:52
32K
py3-flask-migrate-4.1.0-r0.apk
2025-07-13 21:53
13K
py3-flask-migrate-pyc-4.1.0-r0.apk
2025-07-13 21:53
18K
py3-apt-2.9.9-r0.apk
2025-07-14 03:36
169K
py3-apt-lang-2.9.9-r0.apk
2025-07-14 03:36
80K
py3-apt-pyc-2.9.9-r0.apk
2025-07-14 03:36
119K
py3-tls_parser-2.0.2-r0.apk
2025-07-14 03:41
10K
py3-tls_parser-pyc-2.0.2-r0.apk
2025-07-14 03:41
17K
perl-sql-abstract-more-1.44-r0.apk
2025-07-14 05:59
28K
perl-sql-abstract-more-doc-1.44-r0.apk
2025-07-14 05:59
17K
cargo-show-asm-0.2.51-r0.apk
2025-07-14 14:34
867K
cargo-show-asm-doc-0.2.51-r0.apk
2025-07-14 14:34
10K
cargo-udeps-0.1.57-r0.apk
2025-07-14 14:34
4.6M
cargo-udeps-doc-0.1.57-r0.apk
2025-07-14 14:34
7.5K
wsmancli-2.8.0-r0.apk
2025-07-14 14:34
18K
wsmancli-doc-2.8.0-r0.apk
2025-07-14 14:34
3.7K
splitter-0.4.1-r1.apk
2025-07-15 04:09
456K
splitter-doc-0.4.1-r1.apk
2025-07-15 04:09
3.8K
isomd5sum-1.2.5-r0.apk
2025-07-15 08:02
20K
isomd5sum-doc-1.2.5-r0.apk
2025-07-15 08:02
3.0K
py3-drf-yasg-1.21.10-r0.apk
2025-07-15 08:26
4.0M
py3-drf-yasg-pyc-1.21.10-r0.apk
2025-07-15 08:26
98K
ghostty-1.1.2_git20250615-r1.apk
2025-07-15 12:24
19M
ghostty-bash-completion-1.1.2_git20250615-r1.apk
2025-07-15 12:24
5.8K
ghostty-doc-1.1.2_git20250615-r1.apk
2025-07-15 12:24
2.3K
ghostty-fish-completion-1.1.2_git20250615-r1.apk
2025-07-15 12:24
4.0K
ghostty-lang-1.1.2_git20250615-r1.apk
2025-07-15 12:24
20K
ghostty-zsh-completion-1.1.2_git20250615-r1.apk
2025-07-15 12:24
4.1K
py3-ovos-phal-plugin-system-1.3.3-r0.apk
2025-07-15 16:49
11K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
2025-07-15 16:49
10K
adbd-0_git20250325-r2.apk
2025-07-15 17:27
41K
art_standalone-0_git20250325-r2.apk
2025-07-15 17:27
18M
art_standalone-dbg-0_git20250325-r2.apk
2025-07-15 17:27
138M
art_standalone-dev-0_git20250325-r2.apk
2025-07-15 17:27
8.6M
libandroidfw-0_git20250325-r2.apk
2025-07-15 17:27
387K
libandroidfw-dev-0_git20250325-r2.apk
2025-07-15 17:27
7.1K
py3-ovos-config-2.1.1-r0.apk
2025-07-15 18:37
47K
py3-ovos-config-pyc-2.1.1-r0.apk
2025-07-15 18:37
35K
ovos-phal-0.2.10-r0.apk
2025-07-15 19:29
10K
ovos-phal-pyc-0.2.10-r0.apk
2025-07-15 19:29
7.2K
py3-ovos-workshop-7.0.6-r0.apk
2025-07-15 19:29
92K
py3-ovos-workshop-pyc-7.0.6-r0.apk
2025-07-15 19:29
165K
py3-ovos-ww-plugin-vosk-0.1.7-r0.apk
2025-07-15 19:29
11K
py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk
2025-07-15 19:29
13K
py3-ovos-plugin-manager-1.0.3-r0.apk
2025-07-15 19:34
86K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
2025-07-15 19:34
167K
ovos-audio-1.0.1-r0.apk
2025-07-15 20:03
136K
ovos-audio-pyc-1.0.1-r0.apk
2025-07-15 20:03
36K
ovos-dinkum-listener-0.4.1-r0.apk
2025-07-15 20:03
109K
ovos-dinkum-listener-pyc-0.4.1-r0.apk
2025-07-15 20:03
57K
ovos-gui-1.3.3-r0.apk
2025-07-15 20:03
38K
ovos-gui-pyc-1.3.3-r0.apk
2025-07-15 20:03
38K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
2025-07-15 20:03
8.9K
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
2025-07-15 20:03
4.8K
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
2025-07-15 20:03
11K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:03
8.9K
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
2025-07-15 20:03
8.2K
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:03
3.9K
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
2025-07-15 20:03
8.8K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
2025-07-15 20:03
5.1K
py3-ovos-utils-0.8.1-r0.apk
2025-07-15 20:03
73K
py3-ovos-utils-pyc-0.8.1-r0.apk
2025-07-15 20:03
130K
eclipse-ecj-4.36-r1.apk
2025-07-15 23:41
2.5M
pyinfra-3.3.1-r0.apk
2025-07-16 00:27
192K
pyinfra-pyc-3.3.1-r0.apk
2025-07-16 00:27
361K
tremc-0.9.4-r0.apk
2025-07-16 04:23
53K
tremc-bash-completion-0.9.4-r0.apk
2025-07-16 04:23
1.9K
tremc-doc-0.9.4-r0.apk
2025-07-16 04:23
2.8K
tremc-zsh-completion-0.9.4-r0.apk
2025-07-16 04:23
1.8K
opentelemetry-cpp-1.22.0-r0.apk
2025-07-16 11:25
593K
opentelemetry-cpp-dev-1.22.0-r0.apk
2025-07-16 11:25
505K
opentelemetry-cpp-exporter-otlp-common-1.22.0-r0.apk
2025-07-16 11:25
46K
opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r0.apk
2025-07-16 11:25
52K
opentelemetry-cpp-exporter-otlp-http-1.22.0-r0.apk
2025-07-16 11:25
79K
opentelemetry-cpp-exporter-zipkin-1.22.0-r0.apk
2025-07-16 11:25
46K
py3-ansible-pylibssh-1.2.2-r0.apk
2025-07-18 07:51
243K
openjdk24-24.0.2_p12-r0.apk
2025-07-18 14:52
1.5K
openjdk24-demos-24.0.2_p12-r0.apk
2025-07-18 14:52
5.3M
openjdk24-doc-24.0.2_p12-r0.apk
2025-07-18 14:52
32M
openjdk24-jdk-24.0.2_p12-r0.apk
2025-07-18 14:52
7.1M
openjdk24-jmods-24.0.2_p12-r0.apk
2025-07-18 14:52
81M
openjdk24-jre-24.0.2_p12-r0.apk
2025-07-18 14:52
1.0M
openjdk24-jre-headless-24.0.2_p12-r0.apk
2025-07-18 14:52
75M
openjdk24-src-24.0.2_p12-r0.apk
2025-07-18 14:52
48M
openjdk24-static-libs-24.0.2_p12-r0.apk
2025-07-18 14:52
20M
i3status-rust-0.34.0-r0.apk
2025-07-19 15:30
4.4M
i3status-rust-doc-0.34.0-r0.apk
2025-07-19 15:30
33K
perl-nice-try-1.3.17-r0.apk
2025-07-19 17:52
28K
perl-nice-try-doc-1.3.17-r0.apk
2025-07-19 17:52
13K
dislocker-0.7.3-r6.apk
2025-07-19 22:52
11K
dislocker-doc-0.7.3-r6.apk
2025-07-19 22:52
6.0K
dislocker-libs-0.7.3-r6.apk
2025-07-19 22:52
44K
jaq-2.3.0-r0.apk
2025-07-20 10:54
791K
jaq-doc-2.3.0-r0.apk
2025-07-20 10:54
2.2K
php81-pecl-xdebug-3.4.5-r0.apk
2025-07-20 13:27
145K
php82-pecl-vld-0.19.1-r0.apk
2025-07-20 13:27
15K
php83-pecl-vld-0.19.1-r0.apk
2025-07-20 13:27
15K
php84-pecl-vld-0.19.1-r0.apk
2025-07-20 13:27
15K
php85-pecl-vld-0.19.1-r0.apk
2025-07-20 13:27
15K
php83-pecl-ev-1.2.1-r0.apk
2025-07-20 14:00
40K
php84-pecl-ev-1.2.1-r0.apk
2025-07-20 14:00
40K
php85-pecl-ev-1.2.1-r0.apk
2025-07-20 14:00
40K
wl-kbptr-0.4.0-r0.apk
2025-07-20 14:23
25K
wl-kbptr-doc-0.4.0-r0.apk
2025-07-20 14:23
4.8K
wl-kbptr-full-0.4.0-r0.apk
2025-07-20 14:23
32K
toybox-0.8.12-r0.apk
2025-07-20 15:25
269K
php83-pecl-eio-3.1.4-r0.apk
2025-07-20 15:26
28K
php85-spx-0.4.20-r0.apk
2025-07-20 17:15
106K
solarus-engine-1.7.0-r2.apk
2025-07-20 19:28
2.1M
solarus-engine-doc-1.7.0-r2.apk
2025-07-20 19:28
3.3K
laze-0.1.38-r0.apk
2025-07-20 20:22
1.1M
laze-bash-completion-0.1.38-r0.apk
2025-07-20 20:22
3.1K
laze-doc-0.1.38-r0.apk
2025-07-20 20:22
3.6K
laze-fish-completion-0.1.38-r0.apk
2025-07-20 20:22
3.4K
laze-zsh-completion-0.1.38-r0.apk
2025-07-20 20:22
3.7K
efibootguard-0.16-r1.apk
2025-07-20 21:07
95K
efibootguard-bash-completion-0.16-r1.apk
2025-07-20 21:07
3.6K
efibootguard-dev-0.16-r1.apk
2025-07-20 21:07
22K
efibootguard-zsh-completion-0.16-r1.apk
2025-07-20 21:07
2.9K
gummiboot-48.1-r11.apk
2025-07-20 21:07
45K
gummiboot-doc-48.1-r11.apk
2025-07-20 21:07
2.9K
gummiboot-efistub-48.1-r11.apk
2025-07-20 21:07
22K
libwasmtime-34.0.1-r0.apk
2025-07-20 23:08
3.2M
libwasmtime-static-34.0.1-r0.apk
2025-07-20 23:08
5.7M
wasmtime-34.0.1-r0.apk
2025-07-20 23:08
7.1M
wasmtime-dev-34.0.1-r0.apk
2025-07-20 23:08
91K
perl-app-a2p-1.013-r0.apk
2025-07-21 08:41
45K
perl-app-a2p-doc-1.013-r0.apk
2025-07-21 08:41
5.4K
perl-app-find2perl-1.005-r0.apk
2025-07-21 08:41
8.5K
perl-app-find2perl-doc-1.005-r0.apk
2025-07-21 08:41
5.9K
perl-astro-0.78-r0.apk
2025-07-21 08:41
32K
perl-astro-coords-0.22-r0.apk
2025-07-21 08:41
53K
perl-astro-coords-doc-0.22-r0.apk
2025-07-21 08:41
40K
perl-astro-doc-0.78-r0.apk
2025-07-21 08:41
14K
perl-astro-montenbruck-1.26-r0.apk
2025-07-21 08:41
53K
perl-astro-montenbruck-doc-1.26-r0.apk
2025-07-21 08:41
60K
perl-astro-pal-1.09-r0.apk
2025-07-21 08:41
219K
perl-astro-pal-doc-1.09-r0.apk
2025-07-21 08:41
7.4K
perl-astro-satpass-0.133-r0.apk
2025-07-21 08:41
218K
perl-astro-satpass-doc-0.133-r0.apk
2025-07-21 08:41
133K
perl-astro-telescope-0.71-r0.apk
2025-07-21 08:41
48K
perl-astro-telescope-doc-0.71-r0.apk
2025-07-21 08:41
5.0K
perl-datetime-astro-1.04-r0.apk
2025-07-21 08:41
35K
perl-datetime-astro-doc-1.04-r0.apk
2025-07-21 08:41
6.0K
perl-datetime-set-0.3900-r0.apk
2025-07-21 08:41
20K
perl-datetime-set-doc-0.3900-r0.apk
2025-07-21 08:41
18K
perl-power-tools-1.052-r0.apk
2025-07-21 08:41
366K
perl-power-tools-doc-1.052-r0.apk
2025-07-21 08:41
247K
perl-set-infinite-0.65-r0.apk
2025-07-21 08:41
23K
perl-set-infinite-doc-0.65-r0.apk
2025-07-21 08:41
11K
lutgen-1.0.0-r0.apk
2025-07-21 20:40
1.8M
lutgen-bash-completion-1.0.0-r0.apk
2025-07-21 20:40
1.7K
lutgen-doc-1.0.0-r0.apk
2025-07-21 20:40
4.5K
lutgen-fish-completion-1.0.0-r0.apk
2025-07-21 20:40
1.8K
lutgen-zsh-completion-1.0.0-r0.apk
2025-07-21 20:40
1.7K
fheroes2-1.1.10-r0.apk
2025-07-21 21:16
1.7M
fheroes2-lang-1.1.10-r0.apk
2025-07-21 21:16
1.7M
eiwd-3.9-r0.apk
2025-07-22 12:28
845K
eiwd-doc-3.9-r0.apk
2025-07-22 12:28
20K
eiwd-openrc-3.9-r0.apk
2025-07-22 12:28
1.9K
tree-sitter-hare-0_git20230616-r2.apk
2025-07-22 21:29
32K
git-extras-7.4.0-r0.apk
2025-07-22 22:16
57K
git-extras-bash-completion-7.4.0-r0.apk
2025-07-22 22:16
2.9K
git-extras-doc-7.4.0-r0.apk
2025-07-22 22:16
65K
guestfs-tools-1.56.1-r0.apk
2025-07-22 22:16
275K
libgrapheme-2.0.2-r0.apk
2025-07-22 22:16
25K
libgrapheme-dev-2.0.2-r0.apk
2025-07-22 22:16
31K
libgrapheme-doc-2.0.2-r0.apk
2025-07-22 22:16
21K
libguestfs-1.56.1-r0.apk
2025-07-22 22:16
326K
libguestfs-dev-1.56.1-r0.apk
2025-07-22 22:16
29K
libguestfs-doc-1.56.1-r0.apk
2025-07-22 22:16
569K
libguestfs-static-1.56.1-r0.apk
2025-07-22 22:16
444K
lua5.1-libguestfs-1.56.1-r0.apk
2025-07-22 22:16
82K
psi-plus-1.5.2069-r0.apk
2025-07-22 22:16
8.6M
psi-plus-plugins-1.5.2069-r0.apk
2025-07-22 22:16
1.7M
py3-libguestfs-1.56.1-r0.apk
2025-07-22 22:16
178K
ruby-libguestfs-1.56.1-r0.apk
2025-07-22 22:16
106K
screenkey-1.5-r7.apk
2025-07-22 22:16
76K
screenkey-doc-1.5-r7.apk
2025-07-22 22:16
11K
screenkey-pyc-1.5-r7.apk
2025-07-22 22:16
73K
nvidia-src-575.64.05-r0.apk
2025-07-22 22:34
18M
otpclient-4.1.1-r0.apk
2025-07-22 22:44
113K
otpclient-doc-4.1.1-r0.apk
2025-07-22 22:44
3.6K
chawan-0.2.2-r0.apk
2025-07-23 00:55
3.8M
chawan-doc-0.2.2-r0.apk
2025-07-23 00:55
51K
koka-3.2.2-r0.apk
2025-07-23 06:01
31M
py3-hypercorn-0.17.3-r0.apk
2025-07-23 06:34
47K
py3-hypercorn-pyc-0.17.3-r0.apk
2025-07-23 06:34
120K
py3-priority-2.0.0-r0.apk
2025-07-23 06:34
9.9K
py3-priority-pyc-2.0.0-r0.apk
2025-07-23 06:34
9.3K
py3-quart-0.20.0-r0.apk
2025-07-23 06:34
68K
py3-quart-pyc-0.20.0-r0.apk
2025-07-23 06:34
147K
networkmanager-dmenu-2.6.1-r0.apk
2025-07-23 08:13
14K
networkmanager-dmenu-doc-2.6.1-r0.apk
2025-07-23 08:13
6.8K
tree-sitter-clojure-0.0.13-r0.apk
2025-07-23 11:59
23K
py3-qgis-3.40.9-r0.apk
2025-07-24 00:12
21M
qgis-3.40.9-r0.apk
2025-07-24 00:12
46M
qgis-dev-3.40.9-r0.apk
2025-07-24 00:12
3.5M
qgis-doc-3.40.9-r0.apk
2025-07-24 00:12
3.0K
qgis-grass-3.40.9-r0.apk
2025-07-24 00:12
1.3M
qgis-lang-3.40.9-r0.apk
2025-07-24 00:12
33M
qgis-server-3.40.9-r0.apk
2025-07-24 00:12
1.7M
rpi-imager-1.9.0-r1.apk
2025-07-24 08:08
699K
rpi-imager-doc-1.9.0-r1.apk
2025-07-24 08:08
3.1K
perl-template-tiny-1.16-r0.apk
2025-07-24 13:19
5.5K
perl-template-tiny-doc-1.16-r0.apk
2025-07-24 13:19
5.0K
cargo-vendor-filterer-0.5.18-r0.apk
2025-07-24 17:29
637K
tree-sitter-git-commit-0_git20211225-r4.apk
2025-07-25 22:58
13K
tree-sitter-git-diff-0_git20230730-r1.apk
2025-07-25 22:58
9.8K
py3-dunamai-1.25.0-r0.apk
2025-07-25 23:16
27K
py3-dunamai-pyc-1.25.0-r0.apk
2025-07-25 23:16
44K
kmscon-9.1.0-r0.apk
2025-07-26 00:18
841K
kmscon-doc-9.1.0-r0.apk
2025-07-26 00:18
8.4K
py3-poetry-dynamic-versioning-1.9.1-r0.apk
2025-07-26 00:18
21K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
2025-07-26 00:18
26K
warpinator-1.8.9-r0.apk
2025-07-26 20:10
216K
warpinator-lang-1.8.9-r0.apk
2025-07-26 20:10
222K
warpinator-nemo-1.8.9-r0.apk
2025-07-26 20:10
4.2K
merge-usr-0_git20250703-r1.apk
2025-07-26 21:55
9.2K
fatrace-0.18.0-r0.apk
2025-07-27 19:28
9.9K
fatrace-doc-0.18.0-r0.apk
2025-07-27 19:28
3.3K
ruby-facter-4.10.0-r0.apk
2025-07-28 02:04
218K
ruby-hoe-4.2.3-r0.apk
2025-07-28 02:06
28K
gnucobol-3.2-r0.apk
2025-07-28 14:02
794K
gnucobol-doc-3.2-r0.apk
2025-07-28 14:02
71K
gnucobol-lang-3.2-r0.apk
2025-07-28 14:02
316K
libcob4-3.2-r0.apk
2025-07-28 14:02
206K
dropwatch-1.5.5-r1.apk
2025-07-28 14:37
15K
dropwatch-doc-1.5.5-r1.apk
2025-07-28 14:37
3.7K
yaml-language-server-1.19.0-r2.apk
2025-07-28 16:19
743K
yaml-language-server-doc-1.19.0-r2.apk
2025-07-28 16:19
2.3K
py3-aiosmb-0.4.13-r0.apk
2025-07-28 22:12
611K
py3-aiosmb-pyc-0.4.13-r0.apk
2025-07-28 22:12
1.1M
py3-asyauth-0.0.22-r0.apk
2025-07-28 22:12
78K
py3-asyauth-pyc-0.0.22-r0.apk
2025-07-28 22:12
172K
py3-asysocks-0.2.17-r0.apk
2025-07-28 22:12
94K
py3-asysocks-pyc-0.2.17-r0.apk
2025-07-28 22:12
259K
py3-discid-1.3.0-r0.apk
2025-07-28 22:12
13K
py3-discid-pyc-1.3.0-r0.apk
2025-07-28 22:12
13K
py3-minikerberos-0.4.7-r0.apk
2025-07-28 22:12
136K
py3-minikerberos-pyc-0.4.7-r0.apk
2025-07-28 22:12
282K
rot8-1.0.0-r0.apk
2025-07-28 22:12
778K
rot8-doc-1.0.0-r0.apk
2025-07-28 22:12
2.2K
xtl-0.8.0-r0.apk
2025-07-28 22:13
90K
emacs-derl-0_git20231004-r1.apk
2025-07-29 04:36
23K
cargo-shuttle-0.56.6-r0.apk
2025-07-29 07:56
5.0M
cargo-shuttle-bash-completion-0.56.6-r0.apk
2025-07-29 07:56
5.2K
cargo-shuttle-doc-0.56.6-r0.apk
2025-07-29 07:56
9.0K
cargo-shuttle-fish-completion-0.56.6-r0.apk
2025-07-29 07:56
9.0K
cargo-shuttle-zsh-completion-0.56.6-r0.apk
2025-07-29 07:56
7.9K
lynis-3.1.4-r0.apk
2025-07-29 08:08
276K
lynis-bash-completion-3.1.4-r0.apk
2025-07-29 08:08
3.0K
lynis-doc-3.1.4-r0.apk
2025-07-29 08:08
50K
soundconverter-4.1.1-r0.apk
2025-07-29 13:12
165K
soundconverter-doc-4.1.1-r0.apk
2025-07-29 13:12
4.7K
soundconverter-lang-4.1.1-r0.apk
2025-07-29 13:12
107K
soundconverter-pyc-4.1.1-r0.apk
2025-07-29 13:12
77K
pneink-theme-1.3-r0.apk
2025-07-30 00:21
9.8K
pneink-theme-doc-1.3-r0.apk
2025-07-30 00:21
1.7K
py3-pygelbooru-1.0.0-r0.apk
2025-07-30 04:28
20K
py3-pygelbooru-pyc-1.0.0-r0.apk
2025-07-30 04:28
11K
kbs2-0.7.3-r0.apk
2025-07-30 07:28
1.2M
kbs2-bash-completion-0.7.3-r0.apk
2025-07-30 07:28
3.2K
kbs2-fish-completion-0.7.3-r0.apk
2025-07-30 07:28
3.4K
kbs2-zsh-completion-0.7.3-r0.apk
2025-07-30 07:28
4.0K
tabiew-0.11.0-r0.apk
2025-07-30 07:46
13M
megatools-1.11.5.20250706-r0.apk
2025-07-30 15:11
61K
megatools-bash-completion-1.11.5.20250706-r0.apk
2025-07-30 15:11
4.1K
megatools-doc-1.11.5.20250706-r0.apk
2025-07-30 15:11
52K
php85-pecl-igbinary-3.2.16-r1.apk
2025-07-30 16:15
34K
php85-pecl-xdebug-3.4.5-r1.apk
2025-07-30 16:15
145K
ldapdomaindump-0.10.0-r0.apk
2025-07-30 19:18
18K
ldapdomaindump-pyc-0.10.0-r0.apk
2025-07-30 19:18
30K
croaring-4.3.6-r0.apk
2025-07-30 22:38
168K
croaring-dev-4.3.6-r0.apk
2025-07-30 22:38
90K
croaring-static-4.3.6-r0.apk
2025-07-30 22:38
198K
nwg-panel-0.10.12-r0.apk
2025-07-31 07:28
283K
nwg-panel-doc-0.10.12-r0.apk
2025-07-31 07:28
4.4K
nwg-panel-pyc-0.10.12-r0.apk
2025-07-31 07:28
270K
sfwbar-1.0_beta161-r0.apk
2025-07-31 13:29
269K
sfwbar-doc-1.0_beta161-r0.apk
2025-07-31 13:29
27K
xed-3.8.3-r0.apk
2025-07-31 20:37
1.1M
xed-dev-3.8.3-r0.apk
2025-07-31 20:37
14K
xed-doc-3.8.3-r0.apk
2025-07-31 20:37
971K
xed-lang-3.8.3-r0.apk
2025-07-31 20:37
2.1M
xed-python-3.8.3-r0.apk
2025-07-31 20:37
24K
lxqt-wayland-session-0.2.1-r0.apk
2025-07-31 21:23
336K
lxqt-wayland-session-doc-0.2.1-r0.apk
2025-07-31 21:23
29K
py3-fastavro-1.12.0-r0.apk
2025-08-01 02:39
441K
py3-fastavro-pyc-1.12.0-r0.apk
2025-08-01 02:39
82K
telegram-tdlib-1.8.51-r0.apk
2025-08-02 10:25
7.2M
telegram-tdlib-dev-1.8.51-r0.apk
2025-08-02 10:25
189K
telegram-tdlib-static-1.8.51-r0.apk
2025-08-02 10:25
20M
telegram-bot-api-9.1-r0.apk
2025-08-02 10:39
7.2M
py3-ciso8601-2.3.2-r0.apk
2025-08-03 09:57
15K
beancount-language-server-1.4.1-r0.apk
2025-08-03 10:41
1.4M
cargo-generate-0.23.4-r0.apk
2025-08-03 10:45
2.3M
ruby-byebug-11.1.3-r1.apk
2025-08-03 13:48
126K
php81-pecl-yaml-2.2.5-r0.apk
2025-08-03 14:52
18K
php85-pecl-yaml-2.2.5-r0.apk
2025-08-03 14:52
18K
snapweb-0.9.1-r0.apk
2025-08-03 20:24
473K
android-build-tools-15.0-r0.apk
2025-08-04 14:24
1.8M
tinymist-0.13.18-r0.apk
2025-08-05 06:01
18M
typlite-0.13.18-r0.apk
2025-08-05 06:01
14M
horust-0.1.9-r0.apk
2025-08-05 11:36
1.1M
horust-doc-0.1.9-r0.apk
2025-08-05 11:36
10K
php81-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
14K
php84-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
14K
py3-pytest-datadir-1.8.0-r0.apk
2025-08-05 12:51
7.1K
py3-pytest-datadir-pyc-1.8.0-r0.apk
2025-08-05 12:51
5.4K
gperftools-2.17-r0.apk
2025-08-05 13:00
23K
gperftools-dev-2.17-r0.apk
2025-08-05 13:00
682K
gperftools-doc-2.17-r0.apk
2025-08-05 13:00
247K
tcmalloc-2.17-r0.apk
2025-08-05 13:00
72K
tcmalloc-debug-2.17-r0.apk
2025-08-05 13:00
115K
tcmalloc-minimal-2.17-r0.apk
2025-08-05 13:00
55K
tcmalloc-minimal-debug-2.17-r0.apk
2025-08-05 13:00
102K
tcmalloc-profiler-2.17-r0.apk
2025-08-05 13:00
80K
agate-3.3.18-r0.apk
2025-08-06 03:54
910K
agate-openrc-3.3.18-r0.apk
2025-08-06 03:54
2.0K
doasedit-1.0.8-r0.apk
2025-08-06 08:05
3.2K
mint-themes-2.3.1-r0.apk
2025-08-06 08:50
1.8M
mint-themes-doc-2.3.1-r0.apk
2025-08-06 08:50
14K
mint-x-theme-2.3.1-r0.apk
2025-08-06 08:50
2.8K
mint-x-theme-gtk2-2.3.1-r0.apk
2025-08-06 08:50
453K
mint-x-theme-gtk3-2.3.1-r0.apk
2025-08-06 08:50
598K
mint-x-theme-gtk4-2.3.1-r0.apk
2025-08-06 08:50
503K
mint-x-theme-metacity-2.3.1-r0.apk
2025-08-06 08:50
6.0K
mint-x-theme-xfwm4-2.3.1-r0.apk
2025-08-06 08:50
31K
mint-y-theme-2.3.1-r0.apk
2025-08-06 08:50
3.5K
mint-y-theme-gtk2-2.3.1-r0.apk
2025-08-06 08:50
595K
mint-y-theme-gtk3-2.3.1-r0.apk
2025-08-06 08:50
2.0M
mint-y-theme-gtk4-2.3.1-r0.apk
2025-08-06 08:50
1.7M
mint-y-theme-metacity-2.3.1-r0.apk
2025-08-06 08:50
55K
mint-y-theme-xfwm4-2.3.1-r0.apk
2025-08-06 08:50
203K
xendmail-0.4.4-r0.apk
2025-08-06 08:51
1.1M
xendmail-doc-0.4.4-r0.apk
2025-08-06 08:51
2.5K
rio-0.2.26-r0.apk
2025-08-06 22:16
10M
rio-doc-0.2.26-r0.apk
2025-08-06 22:16
2.3K
rio-terminfo-0.2.26-r0.apk
2025-08-06 22:16
3.4K
ghc-current-9.15_pre20250806-r0.apk
2025-08-07 02:11
136M
ghc-current-cmds-9.15_pre20250806-r0.apk
2025-08-07 02:11
3.4K
ghc-current-doc-9.15_pre20250806-r0.apk
2025-08-07 02:11
5.8K
primecount-7.19-r0.apk
2025-08-07 06:14
29K
primecount-dev-7.19-r0.apk
2025-08-07 06:14
2.3M
primecount-doc-7.19-r0.apk
2025-08-07 06:14
3.9K
primecount-libs-7.19-r0.apk
2025-08-07 06:14
171K
primesieve-12.9-r0.apk
2025-08-07 06:14
44K
primesieve-dev-12.9-r0.apk
2025-08-07 06:14
1.4M
primesieve-doc-12.9-r0.apk
2025-08-07 06:14
4.1K
primesieve-libs-12.9-r0.apk
2025-08-07 06:14
126K
biome-2.1.4-r0.apk
2025-08-08 14:20
6.9M
memray-1.17.2-r0.apk
2025-08-08 14:20
600K
memray-pyc-1.17.2-r0.apk
2025-08-08 14:20
95K
py3-pytest-textual-snapshot-1.1.0-r0.apk
2025-08-08 14:20
9.2K
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
2025-08-08 14:20
11K
bzmenu-0.2.1-r3.apk
2025-08-09 16:27
1.1M
dodo-0_git20250806-r1.apk
2025-08-09 16:27
188K
dodo-pyc-0_git20250806-r1.apk
2025-08-09 16:27
89K
gnome-mimeapps-0.1-r1.apk
2025-08-09 16:27
3.7K
gnome-user-share-48.1-r1.apk
2025-08-09 16:27
331K
gnome-user-share-lang-48.1-r1.apk
2025-08-09 16:27
68K
gssdp-1.6.4-r1.apk
2025-08-09 16:27
45K
gssdp-dev-1.6.4-r1.apk
2025-08-09 16:27
16K
gtimelog-0.12_git20250120-r1.apk
2025-08-09 16:27
219K
gtimelog-pyc-0.12_git20250120-r1.apk
2025-08-09 16:27
102K
gupnp-1.6.9-r1.apk
2025-08-09 16:27
88K
gupnp-av-0.14.4-r1.apk
2025-08-09 16:27
79K
gupnp-av-dev-0.14.4-r1.apk
2025-08-09 16:27
42K
gupnp-dev-1.6.9-r1.apk
2025-08-09 16:27
50K
gupnp-dlna-0.12.0-r1.apk
2025-08-09 16:27
65K
gupnp-dlna-dev-0.12.0-r1.apk
2025-08-09 16:27
24K
gupnp-doc-1.6.9-r1.apk
2025-08-09 16:27
3.8K
hare-http-0.25.2.0-r1.apk
2025-08-09 16:27
21K
hare-scfg-0.24.2_git20250509-r0.apk
2025-08-09 16:27
4.7K
jupyterlab3-3.6.8-r1.apk
2025-08-09 16:27
14M
kcbench-0.9.12-r1.apk
2025-08-09 16:27
37K
kcbench-doc-0.9.12-r1.apk
2025-08-09 16:27
20K
libdbusaccess-1.0.20-r1.apk
2025-08-09 16:27
17K
libdbusaccess-dev-1.0.20-r1.apk
2025-08-09 16:27
5.0K
libnfcdef-1.0.1-r1.apk
2025-08-09 16:27
13K
libnfcdef-dev-1.0.1-r1.apk
2025-08-09 16:27
5.7K
logtop-0.7-r1.apk
2025-08-09 16:28
13K
logtop-doc-0.7-r1.apk
2025-08-09 16:28
2.8K
logtop-libs-0.7-r1.apk
2025-08-09 16:28
14K
mirrorhall-0.1.1-r2.apk
2025-08-09 16:28
26K
mkosi-25.3-r2.apk
2025-08-09 16:28
251K
mkosi-doc-25.3-r2.apk
2025-08-09 16:28
69K
mkosi-pyc-25.3-r2.apk
2025-08-09 16:28
393K
mod_dnssd-0.6-r1.apk
2025-08-09 16:28
8.3K
nfcd-1.2.2-r1.apk
2025-08-09 16:28
306K
nfcd-dev-1.2.2-r1.apk
2025-08-09 16:28
24K
perl-promise-me-0.6.0-r0.apk
2025-08-09 16:28
27K
perl-promise-me-doc-0.6.0-r0.apk
2025-08-09 16:28
13K
perl-wanted-0.1.0-r0.apk
2025-08-09 16:28
20K
perl-wanted-doc-0.1.0-r0.apk
2025-08-09 16:28
11K
py3-gtkspellcheck-5.0.3-r1.apk
2025-08-09 16:28
45K
py3-gtkspellcheck-pyc-5.0.3-r1.apk
2025-08-09 16:28
30K
py3-itemloaders-1.3.2-r1.apk
2025-08-09 16:28
12K
py3-itemloaders-pyc-1.3.2-r1.apk
2025-08-09 16:28
17K
py3-logtop-0.7-r1.apk
2025-08-09 16:28
21K
py3-logtop-pyc-0.7-r1.apk
2025-08-09 16:28
4.1K
py3-rofi-1.0.1-r1.apk
2025-08-09 16:28
12K
py3-rofi-pyc-1.0.1-r1.apk
2025-08-09 16:28
12K
py3-sssd-2.11.1-r1.apk
2025-08-09 16:28
58K
py3-sssd-pyc-2.11.1-r1.apk
2025-08-09 16:28
49K
py3-synapse-auto-accept-invite-1.2.0-r1.apk
2025-08-09 16:28
9.8K
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
2025-08-09 16:28
5.6K
reaction-2.2.0-r0.apk
2025-08-09 16:28
1.4M
reaction-openrc-2.2.0-r0.apk
2025-08-09 16:28
1.8K
reaction-tools-2.2.0-r0.apk
2025-08-09 16:28
5.0K
redhat-fonts-4.1.0-r1.apk
2025-08-09 16:28
809K
refine-0.5.10-r1.apk
2025-08-09 16:28
33K
refine-lang-0.5.10-r1.apk
2025-08-09 16:28
33K
reprotest-0.7.30-r1.apk
2025-08-09 16:28
80K
reprotest-pyc-0.7.30-r1.apk
2025-08-09 16:28
103K
sssd-2.11.1-r1.apk
2025-08-09 16:28
2.1M
sssd-dev-2.11.1-r1.apk
2025-08-09 16:28
15K
sssd-openrc-2.11.1-r1.apk
2025-08-09 16:28
1.7K
convert2json-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-bson-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-bson-jaq-2.3.2-r0.apk
2025-08-10 09:34
244K
convert2json-bson-json-2.3.2-r0.apk
2025-08-10 09:34
233K
convert2json-cbor-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-cbor-jaq-2.3.2-r0.apk
2025-08-10 09:34
215K
convert2json-cbor-json-2.3.2-r0.apk
2025-08-10 09:34
204K
convert2json-csv-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-csv-jaq-2.3.2-r0.apk
2025-08-10 09:34
233K
convert2json-csv-json-2.3.2-r0.apk
2025-08-10 09:34
222K
convert2json-doc-2.3.2-r0.apk
2025-08-10 09:34
13K
convert2json-ini-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-ini-jaq-2.3.2-r0.apk
2025-08-10 09:34
198K
convert2json-ini-json-2.3.2-r0.apk
2025-08-10 09:34
187K
convert2json-jaq-2.3.2-r0.apk
2025-08-10 09:34
1.4K
convert2json-json-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-messagepack-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-messagepack-jaq-2.3.2-r0.apk
2025-08-10 09:34
212K
convert2json-messagepack-json-2.3.2-r0.apk
2025-08-10 09:34
201K
convert2json-plist-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-plist-jaq-2.3.2-r0.apk
2025-08-10 09:34
254K
convert2json-plist-json-2.3.2-r0.apk
2025-08-10 09:34
243K
convert2json-rsv-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-rsv-jaq-2.3.2-r0.apk
2025-08-10 09:34
179K
convert2json-rsv-json-2.3.2-r0.apk
2025-08-10 09:34
165K
convert2json-toml-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-toml-jaq-2.3.2-r0.apk
2025-08-10 09:34
251K
convert2json-toml-json-2.3.2-r0.apk
2025-08-10 09:34
238K
convert2json-xml-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-xml-jaq-2.3.2-r0.apk
2025-08-10 09:34
213K
convert2json-xml-json-2.3.2-r0.apk
2025-08-10 09:34
201K
convert2json-yaml-2.3.2-r0.apk
2025-08-10 09:34
1.3K
convert2json-yaml-jaq-2.3.2-r0.apk
2025-08-10 09:34
274K
convert2json-yaml-json-2.3.2-r0.apk
2025-08-10 09:34
263K
rss-email-0.5.1-r0.apk
2025-08-10 11:21
2.3M
rss-email-doc-0.5.1-r0.apk
2025-08-10 11:21
6.4K
linkchecker-10.6.0-r0.apk
2025-08-10 11:39
181K
linkchecker-doc-10.6.0-r0.apk
2025-08-10 11:39
39K
linkchecker-pyc-10.6.0-r0.apk
2025-08-10 11:39
254K
dart-sass-1.90.0-r0.apk
2025-08-10 16:46
1.4M
php81-pecl-ast-1.1.3-r0.apk
2025-08-10 17:43
19K
php85-pecl-ast-1.1.3-r0.apk
2025-08-10 17:43
20K
py3-dbus-fast-2.44.3-r0.apk
2025-08-10 20:22
863K
py3-dbus-fast-doc-2.44.3-r0.apk
2025-08-10 20:22
6.2K
py3-dbus-fast-pyc-2.44.3-r0.apk
2025-08-10 20:22
129K
py3-maidenhead-1.8.0-r0.apk
2025-08-10 21:47
7.9K
py3-maidenhead-doc-1.8.0-r0.apk
2025-08-10 21:47
3.7K
py3-maidenhead-pyc-1.8.0-r0.apk
2025-08-10 21:47
8.0K
font-openmoji-16.0.0-r0.apk
2025-08-11 06:31
1.4M
py3-markdownify-1.2.0-r0.apk
2025-08-11 12:35
16K
py3-markdownify-pyc-1.2.0-r0.apk
2025-08-11 12:35
18K
iotas-0.11.0-r3.apk
2025-08-11 21:32
201K
iotas-lang-0.11.0-r3.apk
2025-08-11 21:32
124K
iotas-pyc-0.11.0-r3.apk
2025-08-11 21:32
317K
lua5.1-ubus-2025.05.16-r0.apk
2025-08-11 22:23
8.9K
lua5.2-ubus-2025.05.16-r0.apk
2025-08-11 22:23
8.9K
ubus-2025.05.16-r0.apk
2025-08-11 22:23
33K
ubus-dev-2025.05.16-r0.apk
2025-08-11 22:23
5.6K
cliquer-1.23-r0.apk
2025-08-12 04:15
7.1K
cliquer-dev-1.23-r0.apk
2025-08-12 04:15
7.5K
cliquer-libs-1.23-r0.apk
2025-08-12 04:15
24K
cliquer-static-1.23-r0.apk
2025-08-12 04:15
27K
cliquer-tests-1.23-r0.apk
2025-08-12 04:15
24K
wbg-1.3.0-r0.apk
2025-08-12 05:08
40K
rt6-6.0.1-r0.apk
2025-08-12 07:48
12M
barman-3.15.0-r0.apk
2025-08-12 08:38
374K
barman-bash-completion-3.15.0-r0.apk
2025-08-12 08:38
1.6K
barman-doc-3.15.0-r0.apk
2025-08-12 08:38
86K
barman-pyc-3.15.0-r0.apk
2025-08-12 08:38
589K
ra-multiplex-0.2.5-r0.apk
2025-08-12 16:07
1.0M
ra-multiplex-doc-0.2.5-r0.apk
2025-08-12 16:07
2.4K
cogapp-3.5.1-r0.apk
2025-08-12 18:38
30K
cogapp-pyc-3.5.1-r0.apk
2025-08-12 18:38
53K
qman-1.5.0-r0.apk
2025-08-12 18:38
65K
qman-doc-1.5.0-r0.apk
2025-08-12 18:38
9.5K
cosmic-comp-1.0.0_alpha7-r2.apk
2025-08-13 08:04
6.2M
py3-pyinstrument-5.1.1-r0.apk
2025-08-13 08:04
109K
py3-pyinstrument-pyc-5.1.1-r0.apk
2025-08-13 08:04
101K
wlroots0.17-0.17.4-r3.apk
2025-08-13 08:04
366K
wlroots0.17-dbg-0.17.4-r3.apk
2025-08-13 08:04
1.4M
wlroots0.17-dev-0.17.4-r3.apk
2025-08-13 08:04
77K
volatility3-2.26.0-r0.apk
2025-08-13 15:28
1.0M
volatility3-pyc-2.26.0-r0.apk
2025-08-13 15:28
1.2M
py3-telegram-bot-22.3-r0.apk
2025-08-13 15:30
487K
py3-telegram-bot-pyc-22.3-r0.apk
2025-08-13 15:30
781K
py3-netmiko-4.6.0-r0.apk
2025-08-13 15:31
192K
py3-netmiko-pyc-4.6.0-r0.apk
2025-08-13 15:31
372K
py3-yara-4.5.4-r0.apk
2025-08-13 15:32
17K
icingaweb2-module-businessprocess-2.5.2-r0.apk
2025-08-13 15:54
110K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2025-08-13 15:54
2.0M
py3-pyzor-1.1.2-r0.apk
2025-08-14 06:26
40K
py3-pyzor-pyc-1.1.2-r0.apk
2025-08-14 06:26
54K
py3-apsw-3.50.4.0-r0.apk
2025-08-14 07:58
836K
py3-apsw-pyc-3.50.4.0-r0.apk
2025-08-14 07:58
555K
py3-rtree-1.4.1-r0.apk
2025-08-14 10:16
26K
py3-rtree-pyc-1.4.1-r0.apk
2025-08-14 10:16
48K
perl-sys-virt-11.6.0-r0.apk
2025-08-14 13:41
208K
perl-sys-virt-doc-11.6.0-r0.apk
2025-08-14 13:41
106K
formiko-1.5.0-r0.apk
2025-08-15 09:06
107K
formiko-doc-1.5.0-r0.apk
2025-08-15 09:06
8.5K
formiko-pyc-1.5.0-r0.apk
2025-08-15 09:06
62K
tmux-rime-0.0.5-r0.apk
2025-08-15 10:07
7.2K
tmux-rime-dev-0.0.5-r0.apk
2025-08-15 10:07
4.8K
netdiscover-0.21-r0.apk
2025-08-15 12:46
459K
netdiscover-doc-0.21-r0.apk
2025-08-15 12:46
4.2K
tokay-0.6.12-r0.apk
2025-08-15 14:58
1.0M
tokay-doc-0.6.12-r0.apk
2025-08-15 14:58
5.1K
perl-cpan-changes-0.500005-r0.apk
2025-08-16 14:24
13K
perl-cpan-changes-doc-0.500005-r0.apk
2025-08-16 14:24
18K
perl-extutils-makemaker-7.76-r0.apk
2025-08-16 14:24
174K
perl-net-patricia-1.23-r0.apk
2025-08-16 14:28
20K
perl-net-patricia-doc-1.23-r0.apk
2025-08-16 14:28
6.3K
cargo-machete-0.9.1-r0.apk
2025-08-17 23:52
1.3M
cargo-machete-doc-0.9.1-r0.apk
2025-08-17 23:52
4.4K
php81-pecl-brotli-0.18.2-r0.apk
2025-08-17 23:52
14K
php85-pecl-brotli-0.18.2-r0.apk
2025-08-17 23:52
14K
php81-pecl-protobuf-4.32.0-r0.apk
2025-08-18 00:54
143K
php85-pecl-protobuf-4.30.0-r0.apk
2025-08-18 00:54
139K
netsed-1.4-r0.apk
2025-08-18 10:15
9.8K
rocm-cmake-6.4.3-r0.apk
2025-08-18 11:32
28K
rocm-cmake-doc-6.4.3-r0.apk
2025-08-18 11:32
2.4K
rocm-core-6.4.3-r0.apk
2025-08-18 11:32
7.4K
rocm-core-dev-6.4.3-r0.apk
2025-08-18 11:32
6.6K
rocm-core-doc-6.4.3-r0.apk
2025-08-18 11:32
2.4K
php81-pecl-grpc-1.74.0-r1.apk
2025-08-18 15:35
4.0M
chocolate-doom-3.1.1-r0.apk
2025-08-19 01:30
1.6M
chocolate-doom-doc-3.1.1-r0.apk
2025-08-19 01:30
233K
lomiri-gallery-app-3.0.2-r2.apk
2025-08-19 09:09
3.7M
lomiri-gallery-app-lang-3.0.2-r2.apk
2025-08-19 09:09
106K
getmail6-6.19.10-r0.apk
2025-08-19 11:29
70K
getmail6-doc-6.19.10-r0.apk
2025-08-19 11:29
139K
getmail6-pyc-6.19.10-r0.apk
2025-08-19 11:29
103K
meep-1.31.0-r1.apk
2025-08-19 13:05
642K
meep-dev-1.31.0-r1.apk
2025-08-19 13:05
492K
numbat-1.16.0-r0.apk
2025-08-19 13:10
1.9M
numbat-doc-1.16.0-r0.apk
2025-08-19 13:10
32K
lv_font_conv-1.5.3-r0.apk
2025-08-19 19:54
1.1M
lv_font_conv-doc-1.5.3-r0.apk
2025-08-19 19:54
5.0K
nrf5-sdk-17.1.0-r0.apk
2025-08-19 19:54
47M
nrf5-sdk-doc-17.1.0-r0.apk
2025-08-19 19:54
3.6K
lomiri-calculator-app-4.1.0-r0.apk
2025-08-19 20:09
381K
lomiri-calculator-app-lang-4.1.0-r0.apk
2025-08-19 20:09
41K
lomiri-docviewer-app-3.1.2-r0.apk
2025-08-19 20:09
221K
lomiri-docviewer-app-doc-3.1.2-r0.apk
2025-08-19 20:09
2.0K
lomiri-docviewer-app-lang-3.1.2-r0.apk
2025-08-19 20:09
138K
lomiri-filemanager-app-1.1.4-r0.apk
2025-08-19 20:09
326K
lomiri-filemanager-app-lang-1.1.4-r0.apk
2025-08-19 20:09
180K
lomiri-sounds-25.01-r0.apk
2025-08-19 20:09
18M
mediascanner2-0.118-r3.apk
2025-08-19 20:09
259K
py3-unicrypto-0.0.11-r0.apk
2025-08-19 20:16
59K
py3-unicrypto-pyc-0.0.11-r0.apk
2025-08-19 20:16
92K
snikket-sdk-0_git20250612-r1.apk
2025-08-20 07:20
3.0M
himitsu-git-0.9.0-r0.apk
2025-08-20 16:37
97K
dfl-applications-0.3.0-r0.apk
2025-08-21 07:47
64K
dfl-applications-dev-0.3.0-r0.apk
2025-08-21 07:47
3.9K
dfl-ipc-0.3.0-r0.apk
2025-08-21 07:47
47K
dfl-ipc-dev-0.3.0-r0.apk
2025-08-21 07:47
4.8K
dfl-login1-0.3.0-r0.apk
2025-08-21 07:47
34K
dfl-login1-dev-0.3.0-r0.apk
2025-08-21 07:47
3.7K
dfl-sni-0.3.0-r0.apk
2025-08-21 07:47
59K
dfl-sni-dev-0.3.0-r0.apk
2025-08-21 07:47
5.0K
paperde-0.3.0-r2.apk
2025-08-21 07:47
610K
paperde-dev-0.3.0-r2.apk
2025-08-21 07:47
5.0K
wayqt-0.3.0-r1.apk
2025-08-21 07:47
134K
wayqt-dev-0.3.0-r1.apk
2025-08-21 07:47
18K
vim-rust-305-r1.apk
2025-08-21 07:55
20K
darkreader-4.9.110-r0.apk
2025-08-21 08:58
767K
py3-cstruct-6.1-r0.apk
2025-08-21 23:06
23K
py3-cstruct-pyc-6.1-r0.apk
2025-08-21 23:06
38K
swappy-1.7.1-r0.apk
2025-08-21 23:29
28K
swappy-doc-1.7.1-r0.apk
2025-08-21 23:29
3.8K
swappy-lang-1.7.1-r0.apk
2025-08-21 23:29
3.8K
texlab-5.23.1-r0.apk
2025-08-22 07:31
8.7M
komikku-1.85.0-r0.apk
2025-08-22 08:26
444K
komikku-lang-1.85.0-r0.apk
2025-08-22 08:26
284K
komikku-pyc-1.85.0-r0.apk
2025-08-22 08:26
798K
kanidm-1.7.3-r0.apk
2025-08-22 09:20
1.3K
kanidm-bash-completion-1.7.3-r0.apk
2025-08-22 09:20
25K
kanidm-clients-1.7.3-r0.apk
2025-08-22 09:20
3.7M
kanidm-openrc-1.7.3-r0.apk
2025-08-22 09:20
2.1K
kanidm-server-1.7.3-r0.apk
2025-08-22 09:20
15M
kanidm-unixd-clients-1.7.3-r0.apk
2025-08-22 09:20
9.8M
kanidm-zsh-completion-1.7.3-r0.apk
2025-08-22 09:20
35K
maxima-5.48.1-r9.apk
2025-08-22 10:16
25M
maxima-bash-completion-5.48.1-r9.apk
2025-08-22 10:16
2.3K
maxima-doc-5.48.1-r9.apk
2025-08-22 10:16
846K
maxima-doc-extra-5.48.1-r9.apk
2025-08-22 10:16
9.6M
maxima-emacs-5.48.1-r9.apk
2025-08-22 10:16
111K
wiremix-0.7.0-r0.apk
2025-08-22 12:01
788K
wiremix-doc-0.7.0-r0.apk
2025-08-22 12:01
9.4K
gimp-plugin-gmic-3.6.0-r0.apk
2025-08-22 12:13
1.4M
gmic-3.6.0-r0.apk
2025-08-22 12:13
12M
gmic-bash-completion-3.6.0-r0.apk
2025-08-22 12:13
29K
gmic-dev-3.6.0-r0.apk
2025-08-22 12:13
7.6K
gmic-doc-3.6.0-r0.apk
2025-08-22 12:13
223K
gmic-libs-3.6.0-r0.apk
2025-08-22 12:13
3.2M
gmic-qt-3.6.0-r0.apk
2025-08-22 12:13
1.7M
incus-ui-canonical-0.18.0-r0.apk
2025-08-22 12:18
4.7M
ttynvt-0.17-r0.apk
2025-08-22 12:50
14K
usbmuxd-1.1.1_git20250201-r9.apk
2025-08-24 13:40
34K
usbmuxd-doc-1.1.1_git20250201-r9.apk
2025-08-24 13:40
3.0K
usbmuxd-udev-1.1.1_git20250201-r9.apk
2025-08-24 13:40
2.1K
prowlarr-2.0.5.5160-r0.apk
2025-08-24 13:42
18M
prowlarr-openrc-2.0.5.5160-r0.apk
2025-08-24 13:42
2.0K
xtensor-0.27.0-r0.apk
2025-08-25 06:40
270K
py3-croniter-6.0.0-r0.apk
2025-08-25 09:05
26K
py3-croniter-pyc-6.0.0-r0.apk
2025-08-25 09:05
26K
py3-evalidate-2.0.5-r0.apk
2025-08-25 09:05
9.4K
py3-evalidate-pyc-2.0.5-r0.apk
2025-08-25 09:05
6.3K
himitsu-secret-service-0.1_git20250705-r0.apk
2025-08-25 10:57
16K
himitsu-secret-service-doc-0.1_git20250705-r0.apk
2025-08-25 10:57
4.0K
himitsu-secret-service-pyc-0.1_git20250705-r0.apk
2025-08-25 10:57
29K
py3-himitsu-0.0.9-r0.apk
2025-08-25 10:57
5.8K
py3-himitsu-pyc-0.0.9-r0.apk
2025-08-25 10:57
7.5K
buildbot-4.3.0-r0.apk
2025-08-25 13:20
736K
buildbot-badges-4.3.0-r0.apk
2025-08-25 13:20
9.3K
buildbot-console-view-4.3.0-r0.apk
2025-08-25 13:20
23K
buildbot-grid-view-4.3.0-r0.apk
2025-08-25 13:20
11K
buildbot-pyc-4.3.0-r0.apk
2025-08-25 13:20
1.5M
buildbot-waterfall-view-4.3.0-r0.apk
2025-08-25 13:20
34K
buildbot-worker-4.3.0-r0.apk
2025-08-25 13:20
191K
buildbot-wsgi-dashboards-4.3.0-r0.apk
2025-08-25 13:20
426K
buildbot-www-4.3.0-r0.apk
2025-08-25 13:20
422K
hare-adwaita-0.1.0-r0.apk
2025-08-25 17:31
90K
hare-gi-0.1.0-r0.apk
2025-08-25 17:31
1.5M
hare-gtk4-layer-shell-0.1.0-r0.apk
2025-08-25 17:31
3.8K
hiprompt-gtk-0.9-r0.apk
2025-08-25 17:31
254K
xdg-desktop-portal-hyprland-1.3.10-r0.apk
2025-08-25 17:41
338K
xdg-desktop-portal-hyprland-doc-1.3.10-r0.apk
2025-08-25 17:41
2.4K
py3-binson-python-0.0.1-r0.apk
2025-08-26 18:04
8.7K
py3-binson-python-pyc-0.0.1-r0.apk
2025-08-26 18:04
14K
cmusfm-0.5.0-r1.apk
2025-08-27 04:14
15K
tuned-2.26.0-r0.apk
2025-08-27 04:27
160K
tuned-bash-completion-2.26.0-r0.apk
2025-08-27 04:27
1.9K
tuned-doc-2.26.0-r0.apk
2025-08-27 04:27
79K
tuned-gtk-2.26.0-r0.apk
2025-08-27 04:27
22K
tuned-openrc-2.26.0-r0.apk
2025-08-27 04:27
1.7K
tuned-ppd-2.26.0-r0.apk
2025-08-27 04:27
3.7K
tuned-ppd-openrc-2.26.0-r0.apk
2025-08-27 04:27
1.8K
tuned-profiles-2.26.0-r0.apk
2025-08-27 04:27
8.3K
tuned-profiles-compat-2.26.0-r0.apk
2025-08-27 04:27
3.6K
tuned-pyc-2.26.0-r0.apk
2025-08-27 04:27
335K
tuned-utils-2.26.0-r0.apk
2025-08-27 04:27
11K
perl-minion-11.0-r0.apk
2025-08-27 09:14
1.5M
perl-minion-backend-pg-11.0-r0.apk
2025-08-27 09:14
9.6K
perl-minion-doc-11.0-r0.apk
2025-08-27 09:14
51K
py3-pyglet-2.1.5-r1.apk
2025-08-27 14:45
890K
py3-pyglet-pyc-2.1.5-r1.apk
2025-08-27 14:45
1.6M
droidcam-2.1.3-r2.apk
2025-08-27 16:40
18K
droidcam-gui-2.1.3-r2.apk
2025-08-27 16:40
27K
dsp-2.0-r1.apk
2025-08-27 16:40
158K
dsp-doc-2.0-r1.apk
2025-08-27 16:40
10K
fceux-2.6.6-r3.apk
2025-08-27 16:40
2.9M
fceux-doc-2.6.6-r3.apk
2025-08-27 16:40
105K
ffms2-5.0-r1.apk
2025-08-27 16:40
70K
ffms2-dev-5.0-r1.apk
2025-08-27 16:40
7.5K
ffms2-doc-5.0-r1.apk
2025-08-27 16:40
30K
motion-4.7.0-r1.apk
2025-08-27 16:40
142K
motion-doc-4.7.0-r1.apk
2025-08-27 16:40
140K
motion-lang-4.7.0-r1.apk
2025-08-27 16:40
471K
motion-openrc-4.7.0-r1.apk
2025-08-27 16:40
2.2K
musikcube-3.0.4-r2.apk
2025-08-27 16:40
2.3M
musikcube-dev-3.0.4-r2.apk
2025-08-27 16:40
19K
musikcube-plugin-all-3.0.4-r2.apk
2025-08-27 16:40
1.3K
musikcube-plugin-httpdatastream-3.0.4-r2.apk
2025-08-27 16:40
79K
musikcube-plugin-mpris-3.0.4-r2.apk
2025-08-27 16:40
21K
musikcube-plugin-openmpt-3.0.4-r2.apk
2025-08-27 16:40
31K
musikcube-plugin-server-3.0.4-r2.apk
2025-08-27 16:40
376K
musikcube-plugin-stockencoders-3.0.4-r2.apk
2025-08-27 16:40
20K
musikcube-plugin-supereqdsp-3.0.4-r2.apk
2025-08-27 16:40
27K
musikcube-plugin-taglibreader-3.0.4-r2.apk
2025-08-27 16:40
35K
sdrangel-7.22.7-r2.apk
2025-08-27 16:40
46M
vcmi-1.6.8-r1.apk
2025-08-27 16:40
13M
wl-screenrec-0.1.7-r1.apk
2025-08-27 16:40
538K
wl-screenrec-bash-completion-0.1.7-r1.apk
2025-08-27 16:40
2.4K
wl-screenrec-doc-0.1.7-r1.apk
2025-08-27 16:40
9.5K
wl-screenrec-fish-completion-0.1.7-r1.apk
2025-08-27 16:40
3.2K
wl-screenrec-zsh-completion-0.1.7-r1.apk
2025-08-27 16:40
3.7K
vice-3.9-r1.apk
2025-08-27 16:46
13M
vice-doc-3.9-r1.apk
2025-08-27 16:46
2.2M
certbot-dns-pdns-0.1.1-r1.apk
2025-08-28 01:20
8.6K
certbot-dns-pdns-pyc-0.1.1-r1.apk
2025-08-28 01:20
3.9K
arturo-0.9.84_alpha3386-r0.apk
2025-08-28 06:05
1.8M
arturo-doc-0.9.84_alpha3386-r0.apk
2025-08-28 06:05
1.5M
arturo-full-0.9.84_alpha3386-r0.apk
2025-08-28 06:05
2.2M
android-translation-layer-0_git20250806-r0.apk
2025-08-28 20:04
2.7M
android-translation-layer-dbg-0_git20250806-r0.apk
2025-08-28 20:04
880K
glslviewer-3.2.4-r2.apk
2025-08-28 20:04
1.8M
nwg-displays-0.3.26-r0.apk
2025-08-28 20:04
27K
nwg-displays-pyc-0.3.26-r0.apk
2025-08-28 20:04
36K
py3-enlighten-1.14.1-r0.apk
2025-08-28 20:04
37K
py3-enlighten-pyc-1.14.1-r0.apk
2025-08-28 20:04
46K
py3-prefixed-0.9.0-r0.apk
2025-08-28 20:04
14K
py3-prefixed-pyc-0.9.0-r0.apk
2025-08-28 20:04
8.6K
qt-creator-17.0.1-r0.apk
2025-08-28 20:04
47M
qt-creator-dbg-17.0.1-r0.apk
2025-08-28 20:04
486M
zfs-src-2.3.4-r0.apk
2025-08-28 20:04
33M
nymphcast-mediaserver-0.1-r4.apk
2025-08-28 21:07
70K
nymphcast-mediaserver-nftables-0.1-r4.apk
2025-08-28 21:07
1.7K
php81-pecl-apcu-5.1.27-r0.apk
2025-08-28 21:50
54K
php85-pecl-apcu-5.1.27-r0.apk
2025-08-28 21:50
55K
siril-1.2.6-r4.apk
2025-08-29 06:20
2.9M
siril-doc-1.2.6-r4.apk
2025-08-29 06:20
18K
siril-lang-1.2.6-r4.apk
2025-08-29 06:20
1.6M
raku-base64-0.1.0-r1.apk
2025-08-29 08:22
20K
raku-base64-doc-0.1.0-r1.apk
2025-08-29 08:22
2.8K
raku-cbor-simple-0.1.4-r1.apk
2025-08-29 08:22
174K
raku-cbor-simple-doc-0.1.4-r1.apk
2025-08-29 08:22
7.0K
raku-clifford-7.0.1_git20250228-r1.apk
2025-08-29 08:22
691K
raku-clifford-doc-7.0.1_git20250228-r1.apk
2025-08-29 08:22
2.9K
raku-cro-0.8.10-r1.apk
2025-08-29 08:22
2.5M
raku-cro-core-0.8.10-r1.apk
2025-08-29 08:22
781K
raku-cro-core-doc-0.8.10-r1.apk
2025-08-29 08:22
2.4K
raku-cro-doc-0.8.10-r1.apk
2025-08-29 08:22
2.8K
raku-cro-http-0.8.10-r1.apk
2025-08-29 08:22
5.3M
raku-cro-http-doc-0.8.10-r1.apk
2025-08-29 08:22
2.8K
raku-cro-tls-0.8.10-r1.apk
2025-08-29 08:22
41K
raku-cro-tls-doc-0.8.10-r1.apk
2025-08-29 08:22
2.2K
raku-cro-webapp-0.10.0-r1.apk
2025-08-29 08:22
4.5M
raku-cro-webapp-doc-0.10.0-r1.apk
2025-08-29 08:22
2.4K
raku-cro-websocket-0.8.10-r1.apk
2025-08-29 08:22
1.8M
raku-cro-websocket-doc-0.8.10-r1.apk
2025-08-29 08:22
2.4K
raku-crypt-random-0.4.1-r1.apk
2025-08-29 08:22
110K
raku-crypt-random-doc-0.4.1-r1.apk
2025-08-29 08:22
2.5K
raku-datetime-parse-0.9.3-r1.apk
2025-08-29 08:22
48K
raku-datetime-parse-doc-0.9.3-r1.apk
2025-08-29 08:22
3.0K
raku-dbiish-0.6.7-r1.apk
2025-08-29 08:22
1.9M
raku-dbiish-doc-0.6.7-r1.apk
2025-08-29 08:22
14K
raku-digest-1.1.0-r1.apk
2025-08-29 08:22
860K
raku-digest-doc-1.1.0-r1.apk
2025-08-29 08:22
2.8K
raku-digest-hmac-1.0.6_git20231116-r1.apk
2025-08-29 08:22
7.1K
raku-digest-hmac-doc-1.0.6_git20231116-r1.apk
2025-08-29 08:22
3.3K
raku-digest-sha1-native-0.06-r1.apk
2025-08-29 08:22
47K
raku-digest-sha1-native-doc-0.06-r1.apk
2025-08-29 08:22
2.4K
raku-distribution-builder-makefromjson-0.6-r1.apk
2025-08-29 08:22
41K
raku-distribution-builder-makefromjson-doc-0.6-r1.apk
2025-08-29 08:22
2.3K
raku-docker-file-1.1-r1.apk
2025-08-29 08:22
131K
raku-docker-file-doc-1.1-r1.apk
2025-08-29 08:22
2.5K
raku-file-find-0.2.1-r1.apk
2025-08-29 08:22
17K
raku-file-find-doc-0.2.1-r1.apk
2025-08-29 08:22
4.2K
raku-file-ignore-1.2-r1.apk
2025-08-29 08:22
46K
raku-file-ignore-doc-1.2-r1.apk
2025-08-29 08:22
4.2K
raku-file-temp-0.0.12-r1.apk
2025-08-29 08:22
45K
raku-file-temp-doc-0.0.12-r1.apk
2025-08-29 08:22
2.8K
raku-file-which-1.0.4-r1.apk
2025-08-29 08:22
106K
raku-file-which-doc-1.0.4-r1.apk
2025-08-29 08:22
3.6K
raku-functionalparsers-0.1.10-r1.apk
2025-08-29 08:22
1.8M
raku-functionalparsers-doc-0.1.10-r1.apk
2025-08-29 08:22
8.8K
raku-html-escape-0.0.1-r1.apk
2025-08-29 08:22
12K
raku-html-escape-doc-0.0.1-r1.apk
2025-08-29 08:22
2.5K
raku-http-hpack-1.0.3-r1.apk
2025-08-29 08:22
88K
raku-http-hpack-doc-1.0.3-r1.apk
2025-08-29 08:22
3.2K
raku-if-0.1.3-r1.apk
2025-08-29 08:22
28K
raku-if-doc-0.1.3-r1.apk
2025-08-29 08:22
2.6K
raku-inline-perl5-0.60_git20250327-r1.apk
2025-08-29 08:22
2.1M
raku-inline-perl5-doc-0.60_git20250327-r1.apk
2025-08-29 08:22
6.3K
raku-io-path-childsecure-1.2-r1.apk
2025-08-29 08:22
11K
raku-io-path-childsecure-doc-1.2-r1.apk
2025-08-29 08:22
2.9K
raku-io-socket-async-ssl-0.8.2-r1.apk
2025-08-29 08:22
277K
raku-io-socket-async-ssl-doc-0.8.2-r1.apk
2025-08-29 08:22
6.2K
raku-json-class-0.0.21-r1.apk
2025-08-29 08:22
48K
raku-json-class-doc-0.0.21-r1.apk
2025-08-29 08:22
3.3K
raku-json-jwt-1.1.2-r1.apk
2025-08-29 08:22
36K
raku-json-jwt-doc-1.1.2-r1.apk
2025-08-29 08:22
3.2K
raku-json-marshal-0.0.25-r1.apk
2025-08-29 08:22
71K
raku-json-marshal-doc-0.0.25-r1.apk
2025-08-29 08:22
3.7K
raku-json-name-0.0.7-r1.apk
2025-08-29 08:22
37K
raku-json-name-doc-0.0.7-r1.apk
2025-08-29 08:22
2.8K
raku-json-optin-0.0.2-r1.apk
2025-08-29 08:22
22K
raku-json-optin-doc-0.0.2-r1.apk
2025-08-29 08:22
2.7K
raku-json-unmarshal-0.18-r1.apk
2025-08-29 08:22
73K
raku-json-unmarshal-doc-0.18-r1.apk
2025-08-29 08:22
3.3K
raku-librarymake-1.0.5-r1.apk
2025-08-29 08:22
38K
raku-librarymake-doc-1.0.5-r1.apk
2025-08-29 08:22
4.5K
raku-log-timeline-0.5.2-r1.apk
2025-08-29 08:22
280K
raku-log-timeline-doc-0.5.2-r1.apk
2025-08-29 08:22
5.4K
raku-meta6-0.0.30-r1.apk
2025-08-29 08:22
109K
raku-meta6-doc-0.0.30-r1.apk
2025-08-29 08:22
3.2K
raku-monad-0.1.2-r1.apk
2025-08-29 08:22
3.6M
raku-monad-doc-0.1.2-r1.apk
2025-08-29 08:22
3.4K
raku-nativehelpers-blob-0.1.12-r1.apk
2025-08-29 08:22
197K
raku-nativehelpers-blob-doc-0.1.12-r1.apk
2025-08-29 08:22
3.4K
raku-nativelibs-0.0.9-r1.apk
2025-08-29 08:22
86K
raku-nativelibs-doc-0.0.9-r1.apk
2025-08-29 08:22
2.6K
raku-oo-monitors-1.1.5-r1.apk
2025-08-29 08:22
13K
raku-oo-monitors-doc-1.1.5-r1.apk
2025-08-29 08:22
2.9K
raku-protocol-mqtt-0.0.4-r1.apk
2025-08-29 08:22
224K
raku-protocol-mqtt-doc-0.0.4-r1.apk
2025-08-29 08:22
2.3K
raku-shell-command-1.1-r1.apk
2025-08-29 08:22
40K
raku-shell-command-doc-1.1-r1.apk
2025-08-29 08:22
3.5K
raku-system-query-0.1.6-r1.apk
2025-08-29 08:22
17K
raku-system-query-doc-0.1.6-r1.apk
2025-08-29 08:22
2.5K
raku-terminal-quickcharts-0.0.2-r1.apk
2025-08-29 08:22
217K
raku-terminal-quickcharts-doc-0.0.2-r1.apk
2025-08-29 08:22
3.1K
raku-text-markdown-1.1.1_git20221121-r1.apk
2025-08-29 08:22
105K
raku-text-markdown-doc-1.1.1_git20221121-r1.apk
2025-08-29 08:22
3.5K
raku-time-crontab-1.0.0-r1.apk
2025-08-29 08:22
123K
raku-time-crontab-doc-1.0.0-r1.apk
2025-08-29 08:22
3.4K
raku-tinyfloats-0.0.5-r1.apk
2025-08-29 08:22
35K
raku-tinyfloats-doc-0.0.5-r1.apk
2025-08-29 08:22
4.1K
please-0.5.6-r0.apk
2025-08-29 08:32
1.0M
please-doc-0.5.6-r0.apk
2025-08-29 08:32
16K
bionic_translation-0_git20250829-r0.apk
2025-08-29 09:05
49K
bionic_translation-dbg-0_git20250829-r0.apk
2025-08-29 09:05
137K
bionic_translation-dev-0_git20250829-r0.apk
2025-08-29 09:05
1.8K
py3-marisa-trie-1.3.1-r0.apk
2025-08-29 09:31
133K
onnxruntime-1.22.2-r0.apk
2025-08-29 10:09
12M
onnxruntime-dev-1.22.2-r0.apk
2025-08-29 10:09
115K
py3-onnxruntime-1.22.2-r0.apk
2025-08-29 10:09
6.8M
py3-onnxruntime-pyc-1.22.2-r0.apk
2025-08-29 10:09
1.3M
neocmakelsp-0.8.25-r0.apk
2025-08-29 18:52
1.6M
neocmakelsp-bash-completion-0.8.25-r0.apk
2025-08-29 18:52
2.0K
neocmakelsp-doc-0.8.25-r0.apk
2025-08-29 18:52
5.8K
neocmakelsp-fish-completion-0.8.25-r0.apk
2025-08-29 18:52
1.6K
neocmakelsp-zsh-completion-0.8.25-r0.apk
2025-08-29 18:52
1.8K
serie-0.5.0-r0.apk
2025-08-29 18:58
842K
serie-doc-0.5.0-r0.apk
2025-08-29 18:58
7.9K
cpp-httplib-0.26.0-r0.apk
2025-08-29 21:54
83K
cpp-httplib-doc-0.26.0-r0.apk
2025-08-29 21:54
13K
ntpd-rs-1.6.2-r0.apk
2025-08-29 21:58
4.0M
ntpd-rs-doc-1.6.2-r0.apk
2025-08-29 21:58
24K
ntpd-rs-openrc-1.6.2-r0.apk
2025-08-29 21:58
1.9K
py3-lsp-mypy-0.7.0-r1.apk
2025-08-30 01:39
13K
py3-lsp-mypy-pyc-0.7.0-r1.apk
2025-08-30 01:39
13K
perl-cgi-simple-1.282-r0.apk
2025-08-30 04:17
56K
perl-cgi-simple-doc-1.282-r0.apk
2025-08-30 04:17
43K
bash-pinyin-completion-rs-0.3.0-r0.apk
2025-08-30 09:03
637K
bash-pinyin-completion-rs-doc-0.3.0-r0.apk
2025-08-30 09:03
14K
xfsdump-3.2.0-r0.apk
2025-08-30 20:48
380K
xfsdump-doc-3.2.0-r0.apk
2025-08-30 20:48
42K
xwayland-satellite-0.7-r0.apk
2025-08-30 22:13
969K
spacer-0.5.0-r0.apk
2025-08-31 02:52
934K
spacer-doc-0.5.0-r0.apk
2025-08-31 02:52
3.1K
mitra-4.9.0-r0.apk
2025-08-31 09:41
6.5M
mitra-doc-4.9.0-r0.apk
2025-08-31 09:41
26K
mitra-openrc-4.9.0-r0.apk
2025-08-31 09:41
1.9K
build-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
1.6K
build-next-bfd-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
908K
build-next-gas-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
832K
build-next-overlay-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
2.9K
clang-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
9.1M
clang-next-ccache-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
1.7K
clang-next-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
3.8M
clang-next-headers-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
1.0M
clang-next-libclang-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
9.0M
clang-next-libs-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
16M
clang-next-rtlib-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
15M
clang-next-rtlib-atomic-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
8.3K
clang-next-rtlib-scudo-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
39K
clang-next-static-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
33M
flang-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
22M
flang-next-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
1.0M
flang-next-static-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
46M
libclc-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
17M
lld-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
7.7K
lld-next-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
19K
lld-next-libs-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
1.9M
lldb-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
6.6M
lldb-next-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
788K
lldb-next-pyc-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
307K
llvm-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
22M
llvm-next-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
9.0M
llvm-next-gtest-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
461K
llvm-next-libc++-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
369K
llvm-next-libc++-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
1.8M
llvm-next-libc++-static-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
689K
llvm-next-libgcc-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
54K
llvm-next-libgcc-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
2.5K
llvm-next-libs-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
32M
llvm-next-libunwind-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
17K
llvm-next-libunwind-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
20K
llvm-next-libunwind-static-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
25K
llvm-next-linker-tools-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
1.3M
llvm-next-lit-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
93K
llvm-next-lit-pyc-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
157K
llvm-next-offload-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
245K
llvm-next-offload-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
374K
llvm-next-openmp-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
602K
llvm-next-openmp-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
505K
llvm-next-polly-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
2.6M
llvm-next-runtime-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
487K
llvm-next-static-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
65M
llvm-next-test-utils-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
593K
mlir-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
19M
mlir-next-dev-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
6.8M
mlir-next-libs-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
18M
mlir-next-static-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
47M
ocaml5-llvm-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
669K
py3-clang-next-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
34K
py3-clang-next-pyc-22.0.0_pre20250830-r0.apk
2025-08-31 17:36
60K
libsirocco-2.1.1-r0.apk
2025-08-31 17:36
62K
libsirocco-dev-2.1.1-r0.apk
2025-08-31 17:36
2.2K
jreleaser-1.20.0-r0.apk
2025-08-31 18:00
41M
jreleaser-doc-1.20.0-r0.apk
2025-08-31 18:00
5.9K
ty-0.0.1_alpha19-r0.apk
2025-09-01 00:24
5.6M
ty-bash-completion-0.0.1_alpha19-r0.apk
2025-09-01 00:24
2.5K
ty-fish-completion-0.0.1_alpha19-r0.apk
2025-09-01 00:24
3.1K
ty-pyc-0.0.1_alpha19-r0.apk
2025-09-01 00:24
3.9K
ty-zsh-completion-0.0.1_alpha19-r0.apk
2025-09-01 00:24
3.4K
py3-virtualenvwrapper-6.1.1-r0.apk
2025-09-01 00:26
22K
py3-virtualenvwrapper-pyc-6.1.1-r0.apk
2025-09-01 00:26
12K
rtl8821ce-src-6.16_git20250820-r0.apk
2025-09-01 07:03
4.3M
toml2json-1.3.2-r0.apk
2025-09-01 13:13
348K
toml2json-doc-1.3.2-r0.apk
2025-09-01 13:13
3.7K
perl-cpansa-db-20250807.001-r0.apk
2025-09-01 13:16
1.3M
perl-cpansa-db-doc-20250807.001-r0.apk
2025-09-01 13:16
5.6K
perl-io-interactive-1.027-r0.apk
2025-09-01 13:16
5.3K
perl-io-interactive-doc-1.027-r0.apk
2025-09-01 13:16
5.2K
py3-queuelib-1.8.0-r0.apk
2025-09-01 18:13
12K
py3-queuelib-pyc-1.8.0-r0.apk
2025-09-01 18:13
24K
py3-dnslib-0.9.26-r0.apk
2025-09-01 18:15
56K
py3-dnslib-pyc-0.9.26-r0.apk
2025-09-01 18:15
109K
authenticator-rs-0.8.6-r0.apk
2025-09-01 18:29
2.2M
authenticator-rs-lang-0.8.6-r0.apk
2025-09-01 18:29
4.1K
elementary-camera-8.0.2-r0.apk
2025-09-02 02:25
85K
elementary-camera-lang-8.0.2-r0.apk
2025-09-02 02:25
35K
elementary-videos-8.0.2-r0.apk
2025-09-02 02:28
114K
elementary-videos-lang-8.0.2-r0.apk
2025-09-02 02:28
83K
elementary-calculator-8.0.1-r0.apk
2025-09-02 02:29
72K
elementary-calculator-lang-8.0.1-r0.apk
2025-09-02 02:29
59K
distribution-gpg-keys-1.114-r0.apk
2025-09-02 07:48
632K
davmail-6.4.0-r0.apk
2025-09-02 13:16
9.8M
amdgpu_top-0.11.0-r0.apk
2025-09-03 00:47
6.8M
amdgpu_top-doc-0.11.0-r0.apk
2025-09-03 00:47
4.0K
biometryd-0.3.2-r0.apk
2025-09-03 21:08
312K
biometryd-dev-0.3.2-r0.apk
2025-09-03 21:08
13K
firefox-developer-edition-143.0_beta7-r0.apk
2025-09-03 21:08
86M
kismet-0.202307.2-r8.apk
2025-09-03 21:08
12M
kismet-linux-bluetooth-0.202307.2-r8.apk
2025-09-03 21:08
44K
kismet-linux-wifi-0.202307.2-r8.apk
2025-09-03 21:08
62K
kismet-logtools-0.202307.2-r8.apk
2025-09-03 21:08
1.2M
kismet-nrf-51822-0.202307.2-r8.apk
2025-09-03 21:08
40K
kismet-nxp-kw41z-0.202307.2-r8.apk
2025-09-03 21:08
42K
libqtdbustest-0.4.0-r0.apk
2025-09-03 21:08
30K
librespot-0.7.1-r0.apk
2025-09-03 21:08
2.2M
librespot-openrc-0.7.1-r0.apk
2025-09-03 21:08
1.9K
malcontent-0.13.1-r0.apk
2025-09-03 21:08
157K
malcontent-dev-0.13.1-r0.apk
2025-09-03 21:08
24K
malcontent-doc-0.13.1-r0.apk
2025-09-03 21:08
45K
phosh-tour-0.47.0-r0.apk
2025-09-03 21:08
38K
phosh-tour-lang-0.47.0-r0.apk
2025-09-03 21:08
31K
sqlmap-1.9.9-r0.apk
2025-09-03 21:08
6.8M
sqlmap-pyc-1.9.9-r0.apk
2025-09-03 21:08
1.2M
acmetool-0.2.2-r16.apk
2025-09-06 16:20
4.7M
acmetool-doc-0.2.2-r16.apk
2025-09-06 16:20
47K
adguardhome-0.107.65-r1.apk
2025-09-06 16:20
11M
adguardhome-openrc-0.107.65-r1.apk
2025-09-06 16:20
2.2K
aide-0.19.2-r0.apk
2025-09-06 16:20
87K
aide-doc-0.19.2-r0.apk
2025-09-06 16:20
16K
alda-2.3.2-r3.apk
2025-09-06 16:20
18M
alertmanager-irc-relay-0.5.1-r1.apk
2025-09-06 16:20
5.0M
alertmanager-irc-relay-openrc-0.5.1-r1.apk
2025-09-06 16:20
2.0K
alpine-lift-0.2.0-r26.apk
2025-09-06 16:20
3.9M
Alpine Linux
alps-0_git20230807-r15.apk
2025-09-06 16:20
5.8M
alps-openrc-0_git20230807-r15.apk
2025-09-06 16:20
2.0K
antibody-6.1.1-r31.apk
2025-09-06 16:20
1.9M
aports-glmr-0.2-r31.apk
2025-09-06 16:20
2.7M
aprilsh-0.7.12-r8.apk
2025-09-06 16:20
1.6K
aprilsh-client-0.7.12-r8.apk
2025-09-06 16:20
3.4M
aprilsh-doc-0.7.12-r8.apk
2025-09-06 16:20
14K
aprilsh-openrc-0.7.12-r8.apk
2025-09-06 16:20
1.8K
aprilsh-server-0.7.12-r8.apk
2025-09-06 16:20
2.6M
apulse-0.1.14-r0.apk
2025-09-06 16:20
40K
apulse-doc-0.1.14-r0.apk
2025-09-06 16:20
2.9K
apx-2.4.5-r3.apk
2025-09-06 16:20
3.7M
apx-doc-2.4.5-r3.apk
2025-09-06 16:20
2.4K
arduino-cli-1.3.1-r0.apk
2025-09-06 16:20
9.0M
asdf-0.18.0-r3.apk
2025-09-06 16:20
1.7M
asdf-doc-0.18.0-r3.apk
2025-09-06 16:20
2.2K
autorestic-1.8.3-r8.apk
2025-09-06 16:20
3.9M
autoscan-1.4.0-r14.apk
2025-09-06 16:20
5.6M
autoscan-openrc-1.4.0-r14.apk
2025-09-06 16:20
2.0K
avahi2dns-0.1.0-r4.apk
2025-09-06 16:20
2.6M
avahi2dns-openrc-0.1.0-r4.apk
2025-09-06 16:20
1.8K
beszel-0.12.6-r0.apk
2025-09-06 16:20
11M
beszel-agent-0.12.6-r0.apk
2025-09-06 16:20
3.5M
beszel-agent-openrc-0.12.6-r0.apk
2025-09-06 16:20
2.0K
beszel-openrc-0.12.6-r0.apk
2025-09-06 16:20
2.1K
bettercap-2.41.4-r1.apk
2025-09-06 16:20
20M
bettercap-doc-2.41.4-r1.apk
2025-09-06 16:20
14K
bomctl-0.1.9-r9.apk
2025-09-06 16:20
9.7M
bomctl-bash-completion-0.1.9-r9.apk
2025-09-06 16:20
5.1K
bomctl-fish-completion-0.1.9-r9.apk
2025-09-06 16:20
4.3K
bomctl-zsh-completion-0.1.9-r9.apk
2025-09-06 16:20
4.1K
bootloose-0.7.1-r14.apk
2025-09-06 16:20
2.3M
btcd-0.24.0-r11.apk
2025-09-06 16:20
16M
buf-1.56.0-r2.apk
2025-09-06 16:20
14M
buf-bash-completion-1.56.0-r2.apk
2025-09-06 16:20
8.6K
buf-fish-completion-1.56.0-r2.apk
2025-09-06 16:20
4.3K
buf-protoc-plugins-1.56.0-r2.apk
2025-09-06 16:20
15M
buf-zsh-completion-1.56.0-r2.apk
2025-09-06 16:20
4.0K
certigo-1.16.0-r26.apk
2025-09-06 16:20
3.9M
certstrap-1.3.0-r27.apk
2025-09-06 16:20
2.4M
cfssl-1.6.5-r8.apk
2025-09-06 16:20
31M
cilium-cli-0.16.13-r8.apk
2025-09-06 16:20
56M
cilium-cli-bash-completion-0.16.13-r8.apk
2025-09-06 16:20
5.1K
cilium-cli-fish-completion-0.16.13-r8.apk
2025-09-06 16:20
4.3K
cilium-cli-zsh-completion-0.16.13-r8.apk
2025-09-06 16:20
4.0K
ckb-next-0.6.2-r1.apk
2025-09-06 16:20
1.3M
ckb-next-daemon-0.6.2-r1.apk
2025-09-06 16:20
69K
ckb-next-daemon-openrc-0.6.2-r1.apk
2025-09-06 16:20
1.8K
ckb-next-dev-0.6.2-r1.apk
2025-09-06 16:20
4.9K
cliphist-0.6.1-r8.apk
2025-09-06 16:20
1.0M
cliphist-fzf-0.6.1-r8.apk
2025-09-06 16:20
1.8K
cloudflared-2024.12.1-r9.apk
2025-09-06 16:20
9.7M
cloudflared-doc-2024.12.1-r9.apk
2025-09-06 16:20
1.9K
cloudflared-openrc-2024.12.1-r9.apk
2025-09-06 16:20
1.8K
cloudfoundry-cli-8.7.9-r12.apk
2025-09-06 16:20
9.4M
cobang-1.7.3-r0.apk
2025-09-06 16:20
39K
cobang-lang-1.7.3-r0.apk
2025-09-06 16:20
10K
comics-downloader-0.33.8-r13.apk
2025-09-06 16:20
3.9M
comics-downloader-gui-0.33.8-r13.apk
2025-09-06 16:20
5.7M
conntracct-0.2.7-r34.apk
2025-09-06 16:20
5.3M
conntracct-openrc-0.2.7-r34.apk
2025-09-06 16:20
1.9K
consul-replicate-0.4.0-r34.apk
2025-09-06 16:20
3.0M
cortex-tenant-1.15.2-r9.apk
2025-09-06 16:20
4.4M
cortex-tenant-openrc-1.15.2-r9.apk
2025-09-06 16:20
2.0K
crowdsec-1.7.0-r1.apk
2025-09-06 16:20
37M
crowdsec-email-plugin-1.7.0-r1.apk
2025-09-06 16:20
6.6M
crowdsec-http-plugin-1.7.0-r1.apk
2025-09-06 16:20
6.5M
crowdsec-openrc-1.7.0-r1.apk
2025-09-06 16:20
1.8K
crowdsec-sentinel-plugin-1.7.0-r1.apk
2025-09-06 16:20
6.5M
crowdsec-slack-plugin-1.7.0-r1.apk
2025-09-06 16:20
6.5M
crowdsec-splunk-plugin-1.7.0-r1.apk
2025-09-06 16:20
6.5M
dbmate-2.26.0-r5.apk
2025-09-06 16:20
11M
dbmate-doc-2.26.0-r5.apk
2025-09-06 16:20
2.3K
desync-0.9.6-r8.apk
2025-09-06 16:20
8.0M
devpod-0.6.15-r7.apk
2025-09-06 16:20
25M
devpod-bash-completion-0.6.15-r7.apk
2025-09-06 16:20
5.1K
devpod-fish-completion-0.6.15-r7.apk
2025-09-06 16:20
4.3K
devpod-zsh-completion-0.6.15-r7.apk
2025-09-06 16:20
4.0K
dive-0.13.0-r5.apk
2025-09-06 16:20
4.0M
dmarc-cat-0.15.0-r8.apk
2025-09-06 16:20
2.9M
dnote-0.15.1-r8.apk
2025-09-06 16:20
4.6M
dnote-bash-completion-0.15.1-r8.apk
2025-09-06 16:20
2.1K
dnote-doc-0.15.1-r8.apk
2025-09-06 16:20
15K
dnote-zsh-completion-0.15.1-r8.apk
2025-09-06 16:20
2.0K
dnscontrol-4.24.0-r1.apk
2025-09-06 16:20
16M
dnscontrol-doc-4.24.0-r1.apk
2025-09-06 16:20
2.3K
docker-auth-1.13.0-r8.apk
2025-09-06 16:20
11M
docker-auth-doc-1.13.0-r8.apk
2025-09-06 16:20
10K
docker-auth-openrc-1.13.0-r8.apk
2025-09-06 16:20
2.1K
docker-machine-driver-kvm2-1.34.0-r8.apk
2025-09-06 16:20
4.4M
docker-volume-local-persist-1.3.0-r36.apk
2025-09-06 16:20
2.7M
docker-volume-local-persist-openrc-1.3.0-r36.apk
2025-09-06 16:20
1.8K
dockerize-0.9.6-r0.apk
2025-09-06 16:20
3.5M
dolt-1.58.5-r1.apk
2025-09-06 16:20
40M
draw-0.1.1-r16.apk
2025-09-06 16:20
1.0M
drone-cli-1.8.0-r13.apk
2025-09-06 16:20
6.1M
dstask-0.27-r2.apk
2025-09-06 16:20
1.6M
dstask-bash-completion-0.27-r2.apk
2025-09-06 16:20
2.1K
dstask-fish-completion-0.27-r2.apk
2025-09-06 16:20
1.7K
dstask-import-0.27-r2.apk
2025-09-06 16:20
3.7M
dstask-zsh-completion-0.27-r2.apk
2025-09-06 16:20
1.7K
dufs-0.45.0-r0.apk
2025-09-06 16:20
1.7M
dufs-bash-completion-0.45.0-r0.apk
2025-09-06 16:20
2.3K
dufs-doc-0.45.0-r0.apk
2025-09-06 16:20
11K
dufs-fish-completion-0.45.0-r0.apk
2025-09-06 16:20
2.4K
dufs-zsh-completion-0.45.0-r0.apk
2025-09-06 16:20
2.7K
envconsul-0.13.3-r6.apk
2025-09-06 16:20
5.1M
epic6-0_git20250821-r0.apk
2025-09-06 16:20
365K
epic6-doc-0_git20250821-r0.apk
2025-09-06 16:20
17K
epic6-script-0_git20250821-r0.apk
2025-09-06 16:20
149K
ergo-ldap-0.0.1-r20.apk
2025-09-06 16:20
2.3M
ergo-ldap-doc-0.0.1-r20.apk
2025-09-06 16:20
2.3K
exabgp-4.2.24-r1.apk
2025-09-06 16:20
385K
exabgp-doc-4.2.24-r1.apk
2025-09-06 16:20
8.1K
exabgp-openrc-4.2.24-r1.apk
2025-09-06 16:20
2.3K
exabgp-pyc-4.2.24-r1.apk
2025-09-06 16:20
778K
exercism-3.2.0-r16.apk
2025-09-06 16:20
4.3M
exercism-bash-completion-3.2.0-r16.apk
2025-09-06 16:20
2.0K
exercism-fish-completion-3.2.0-r16.apk
2025-09-06 16:20
2.4K
exercism-zsh-completion-3.2.0-r16.apk
2025-09-06 16:20
2.1K
fathom-1.3.1-r16.apk
2025-09-06 16:20
5.1M
femto-2.24.1-r0.apk
2025-09-06 16:20
66K
femto-doc-2.24.1-r0.apk
2025-09-06 16:20
49K
filebrowser-2.27.0-r14.apk
2025-09-06 16:20
7.7M
filebrowser-openrc-2.27.0-r14.apk
2025-09-06 16:20
1.8K
firectl-0.2.0-r22.apk
2025-09-06 16:20
3.7M
flannel-0.27.2-r1.apk
2025-09-06 16:20
15M
flannel-contrib-cni-0.27.2-r1.apk
2025-09-06 16:20
4.3K
flannel-openrc-0.27.2-r1.apk
2025-09-06 16:20
2.0K
fq-0.15.1-r1.apk
2025-09-06 16:20
4.6M
gb-0.4.4-r34.apk
2025-09-06 16:20
7.4M
gearman-dev-1.1.22-r0.apk
2025-09-06 16:20
1.1M
gearman-libs-1.1.22-r0.apk
2025-09-06 16:20
79K
gearmand-1.1.22-r0.apk
2025-09-06 16:20
175K
gearmand-doc-1.1.22-r0.apk
2025-09-06 16:20
189K
gearmand-openrc-1.1.22-r0.apk
2025-09-06 16:20
1.8K
geodns-3.3.0-r16.apk
2025-09-06 16:20
5.0M
geodns-logs-3.3.0-r16.apk
2025-09-06 16:20
4.6M
geodns-openrc-3.3.0-r16.apk
2025-09-06 16:20
1.8K
ghidra-11.4.2-r0.apk
2025-09-06 16:20
369M
ghidra-doc-11.4.2-r0.apk
2025-09-06 16:20
48M
ghidra-tutorials-11.4.2-r0.apk
2025-09-06 16:20
4.0M
ghq-1.8.0-r4.apk
2025-09-06 16:20
3.9M
ghq-bash-completion-1.8.0-r4.apk
2025-09-06 16:20
1.8K
ghq-doc-1.8.0-r4.apk
2025-09-06 16:20
5.5K
ghq-fish-completion-1.8.0-r4.apk
2025-09-06 16:20
2.5K
ghq-zsh-completion-1.8.0-r4.apk
2025-09-06 16:20
2.5K
git-bug-0.8.1-r4.apk
2025-09-06 16:20
10M
git-bug-bash-completion-0.8.1-r4.apk
2025-09-06 16:20
5.3K
git-bug-doc-0.8.1-r4.apk
2025-09-06 16:20
17K
git-bug-fish-completion-0.8.1-r4.apk
2025-09-06 16:20
4.3K
git-bug-zsh-completion-0.8.1-r4.apk
2025-09-06 16:20
4.1K
gliderlabs-sigil-0.11.0-r8.apk
2025-09-06 16:20
3.4M
gliderlabs-sigil-doc-0.11.0-r8.apk
2025-09-06 16:20
2.4K
glow-2.1.1-r3.apk
2025-09-06 16:20
6.2M
glow-bash-completion-2.1.1-r3.apk
2025-09-06 16:20
6.1K
glow-doc-2.1.1-r3.apk
2025-09-06 16:20
3.2K
glow-fish-completion-2.1.1-r3.apk
2025-09-06 16:20
4.3K
glow-zsh-completion-2.1.1-r3.apk
2025-09-06 16:20
4.0K
go-away-0.7.0-r1.apk
2025-09-06 16:20
8.7M
go-away-openrc-0.7.0-r1.apk
2025-09-06 16:20
2.3K
go-jsonnet-0.21.0-r3.apk
2025-09-06 16:20
6.9M
go-mtpfs-1.0.0-r30.apk
2025-09-06 16:20
1.2M
go-passbolt-cli-0.3.2-r6.apk
2025-09-06 16:20
6.4M
gobuster-3.8.0-r1.apk
2025-09-06 16:20
3.8M
godini-1.0.0-r3.apk
2025-09-06 16:20
1.6M
godini-doc-1.0.0-r3.apk
2025-09-06 16:20
15K
gomp-1.0.0-r15.apk
2025-09-06 16:20
3.8M
goreman-0.3.15-r16.apk
2025-09-06 16:20
2.6M
goshs-1.1.0-r3.apk
2025-09-06 16:20
6.5M
goshs-doc-1.1.0-r3.apk
2025-09-06 16:20
2.3K
gotify-2.5.0-r9.apk
2025-09-06 16:20
10M
gotify-cli-2.3.2-r8.apk
2025-09-06 16:20
4.5M
gotify-openrc-2.5.0-r9.apk
2025-09-06 16:20
2.0K
gradia-1.9.0-r0.apk
2025-09-06 16:20
531K
gradia-dev-1.9.0-r0.apk
2025-09-06 16:20
2.5K
gradia-lang-1.9.0-r0.apk
2025-09-06 16:20
56K
gron-0.7.1-r28.apk
2025-09-06 16:20
2.7M
gron-doc-0.7.1-r28.apk
2025-09-06 16:20
6.3K
grpcui-1.5.1-r2.apk
2025-09-06 16:20
9.0M
grpcurl-1.9.3-r6.apk
2025-09-06 16:20
8.5M
gx-0.14.3-r33.apk
2025-09-06 16:20
4.9M
gx-doc-0.14.3-r33.apk
2025-09-06 16:20
2.3K
gx-go-1.9.0-r35.apk
2025-09-06 16:20
5.1M
gx-go-doc-1.9.0-r35.apk
2025-09-06 16:20
2.3K
gyosu-0.2.0-r1.apk
2025-09-06 16:20
1.9M
helm-diff-3.11.0-r4.apk
2025-09-06 16:20
22M
helm-ls-0.5.0-r2.apk
2025-09-06 16:20
19M
helm-ls-doc-0.5.0-r2.apk
2025-09-06 16:20
2.3K
helm-mapkubeapis-0.5.2-r9.apk
2025-09-06 16:20
20M
helm-unittest-0.8.2-r3.apk
2025-09-06 16:20
12M
helmfile-1.1.3-r2.apk
2025-09-06 16:20
48M
helmfile-bash-completion-1.1.3-r2.apk
2025-09-06 16:20
6.1K
helmfile-doc-1.1.3-r2.apk
2025-09-06 16:20
2.3K
helmfile-fish-completion-1.1.3-r2.apk
2025-09-06 16:20
4.3K
helmfile-zsh-completion-1.1.3-r2.apk
2025-09-06 16:20
4.0K
hilbish-2.3.4-r8.apk
2025-09-06 16:20
3.6M
hilbish-doc-2.3.4-r8.apk
2025-09-06 16:20
25K
himitsu-totp-0.9-r0.apk
2025-09-06 16:20
125K
himitsu-totp-doc-0.9-r0.apk
2025-09-06 16:20
2.4K
httpx-1.7.1-r1.apk
2025-09-06 16:20
15M
httpx-doc-1.7.1-r1.apk
2025-09-06 16:20
2.3K
hub-2.14.2-r34.apk
2025-09-06 16:20
3.0M
hub-bash-completion-2.14.2-r34.apk
2025-09-06 16:20
4.6K
hub-doc-2.14.2-r34.apk
2025-09-06 16:20
42K
hub-fish-completion-2.14.2-r34.apk
2025-09-06 16:20
3.3K
hub-zsh-completion-2.14.2-r34.apk
2025-09-06 16:20
3.7K
hubble-cli-0.13.6-r8.apk
2025-09-06 16:20
18M
hubble-cli-bash-completion-0.13.6-r8.apk
2025-09-06 16:20
5.1K
hubble-cli-fish-completion-0.13.6-r8.apk
2025-09-06 16:20
4.3K
hubble-cli-zsh-completion-0.13.6-r8.apk
2025-09-06 16:20
4.1K
hyfetch-2.0.2-r0.apk
2025-09-06 16:20
876K
hyfetch-bash-completion-2.0.2-r0.apk
2025-09-06 16:20
3.3K
hyfetch-doc-2.0.2-r0.apk
2025-09-06 16:20
19K
hyfetch-zsh-completion-2.0.2-r0.apk
2025-09-06 16:20
2.6K
ijq-1.1.0-r11.apk
2025-09-06 16:20
1.5M
ijq-doc-1.1.0-r11.apk
2025-09-06 16:20
3.5K
imgdiff-1.0.2-r29.apk
2025-09-06 16:20
1.0M
imgdiff-doc-1.0.2-r29.apk
2025-09-06 16:20
2.3K
invidtui-0.4.6-r8.apk
2025-09-06 16:20
4.2M
ipp-usb-0.9.30-r3.apk
2025-09-06 16:20
2.6M
ipp-usb-doc-0.9.30-r3.apk
2025-09-06 16:20
8.8K
ipp-usb-openrc-0.9.30-r3.apk
2025-09-06 16:20
1.7K
ircdog-0.5.4-r8.apk
2025-09-06 16:20
2.5M
jackal-0.64.0-r18.apk
2025-09-06 16:20
12M
jackal-openrc-0.64.0-r18.apk
2025-09-06 16:20
1.8K
jfrog-cli-2.45.0-r15.apk
2025-09-06 16:20
9.6M
jsonnet-bundler-0.6.0-r8.apk
2025-09-06 16:20
3.4M
jsonnet-language-server-0.15.0-r7.apk
2025-09-06 16:20
4.6M
k3sup-0.13.6-r8.apk
2025-09-06 16:20
2.8M
k3sup-bash-completion-0.13.6-r8.apk
2025-09-06 16:20
5.0K
k3sup-fish-completion-0.13.6-r8.apk
2025-09-06 16:20
4.3K
k3sup-zsh-completion-0.13.6-r8.apk
2025-09-06 16:20
4.0K
kapow-0.7.1-r16.apk
2025-09-06 16:20
3.8M
katana-1.2.2-r1.apk
2025-09-06 16:20
14M
katana-doc-1.2.2-r1.apk
2025-09-06 16:20
2.3K
kew-3.4.1-r0.apk
2025-09-06 16:20
524K
kew-doc-3.4.1-r0.apk
2025-09-06 16:20
3.5K
keybase-client-6.2.8-r13.apk
2025-09-06 16:20
19M
khinsider-2.0.7-r23.apk
2025-09-06 16:20
3.6M
kine-0.10.1-r16.apk
2025-09-06 16:20
8.2M
kine-doc-0.10.1-r16.apk
2025-09-06 16:20
5.2K
knative-client-1.18.0-r3.apk
2025-09-06 16:20
25M
knative-client-bash-completion-1.18.0-r3.apk
2025-09-06 16:20
10K
knative-client-fish-completion-1.18.0-r3.apk
2025-09-06 16:20
4.3K
knative-client-zsh-completion-1.18.0-r3.apk
2025-09-06 16:20
4.0K
ko-0.17.1-r8.apk
2025-09-06 16:20
11M
ko-bash-completion-0.17.1-r8.apk
2025-09-06 16:20
5.0K
ko-fish-completion-0.17.1-r8.apk
2025-09-06 16:20
4.3K
ko-zsh-completion-0.17.1-r8.apk
2025-09-06 16:20
4.0K
kompose-1.31.2-r13.apk
2025-09-06 16:20
7.5M
kompose-bash-completion-1.31.2-r13.apk
2025-09-06 16:20
5.6K
kompose-fish-completion-1.31.2-r13.apk
2025-09-06 16:20
4.4K
kompose-zsh-completion-1.31.2-r13.apk
2025-09-06 16:20
6.8K
kopia-0.21.1-r2.apk
2025-09-06 16:20
17M
kopia-bash-completion-0.21.1-r2.apk
2025-09-06 16:20
1.8K
kopia-zsh-completion-0.21.1-r2.apk
2025-09-06 16:20
1.8K
kops-1.28.4-r13.apk
2025-09-06 16:20
36M
kube-no-trouble-0.7.3-r8.apk
2025-09-06 16:20
14M
kubeconform-0.7.0-r1.apk
2025-09-06 16:20
3.8M
kubectl-krew-0.4.5-r5.apk
2025-09-06 16:20
4.8M
kubectl-oidc_login-1.34.0-r2.apk
2025-09-06 16:20
5.8M
kubeone-1.10.0-r3.apk
2025-09-06 16:20
27M
kubeone-bash-completion-1.10.0-r3.apk
2025-09-06 16:20
6.7K
kubeone-doc-1.10.0-r3.apk
2025-09-06 16:20
20K
kubeone-zsh-completion-1.10.0-r3.apk
2025-09-06 16:20
4.0K
kubepug-1.7.1-r13.apk
2025-09-06 16:20
17M
kubepug-bash-completion-1.7.1-r13.apk
2025-09-06 16:20
5.1K
kubepug-fish-completion-1.7.1-r13.apk
2025-09-06 16:20
4.3K
kubepug-zsh-completion-1.7.1-r13.apk
2025-09-06 16:20
4.0K
kuma-cp-2.10.1-r4.apk
2025-09-06 16:20
30M
kuma-cp-openrc-2.10.1-r4.apk
2025-09-06 16:20
2.1K
kuma-dp-2.10.1-r4.apk
2025-09-06 16:20
24M
kuma-dp-openrc-2.10.1-r4.apk
2025-09-06 16:20
2.1K
kumactl-2.10.1-r4.apk
2025-09-06 16:20
27M
kumactl-bash-completion-2.10.1-r4.apk
2025-09-06 16:20
10K
kumactl-fish-completion-2.10.1-r4.apk
2025-09-06 16:20
4.3K
kumactl-zsh-completion-2.10.1-r4.apk
2025-09-06 16:20
4.0K
lbb-0.9.1-r6.apk
2025-09-06 16:20
3.3M
lbb-doc-0.9.1-r6.apk
2025-09-06 16:20
19K
lefthook-1.11.14-r3.apk
2025-09-06 16:20
5.5M
lefthook-doc-1.11.14-r3.apk
2025-09-06 16:20
2.2K
legume-1.4.2-r12.apk
2025-09-06 16:20
1.5M
legume-doc-1.4.2-r12.apk
2025-09-06 16:20
12K
lima-1.0.7-r5.apk
2025-09-06 16:20
11M
lima-bash-completion-1.0.7-r5.apk
2025-09-06 16:20
6.1K
lima-doc-1.0.7-r5.apk
2025-09-06 16:20
51K
lima-fish-completion-1.0.7-r5.apk
2025-09-06 16:20
4.3K
lima-guestagent-1.0.7-r5.apk
2025-09-06 16:20
14M
lima-zsh-completion-1.0.7-r5.apk
2025-09-06 16:20
4.0K
linkquisition-1.6.1-r8.apk
2025-09-06 16:20
13M
linuxkit-1.6.0-r3.apk
2025-09-06 16:20
14M
linuxkit-doc-1.6.0-r3.apk
2025-09-06 16:20
10K
lshell-0.9.18-r12.apk
2025-09-06 16:20
36K
lshell-doc-0.9.18-r12.apk
2025-09-06 16:20
25K
lshell-pyc-0.9.18-r12.apk
2025-09-06 16:20
35K
lxd-5.0.3-r14.apk
2025-09-06 16:20
15M
lxd-bash-completion-5.0.3-r14.apk
2025-09-06 16:20
5.1K
lxd-client-5.0.3-r14.apk
2025-09-06 16:20
6.4M
lxd-feature-5.20-r14.apk
2025-09-06 16:20
70M
lxd-feature-bash-completion-5.20-r14.apk
2025-09-06 16:20
5.1K
lxd-feature-doc-5.20-r14.apk
2025-09-06 16:20
1.7K
lxd-feature-openrc-5.20-r14.apk
2025-09-06 16:20
2.4K
lxd-feature-scripts-5.20-r14.apk
2025-09-06 16:20
2.1K
lxd-openrc-5.0.3-r14.apk
2025-09-06 16:20
2.5K
lxd-scripts-5.0.3-r14.apk
2025-09-06 16:20
27M
lxd-vm-5.0.3-r14.apk
2025-09-06 16:20
1.3K
maildir2rss-0.0.7-r8.apk
2025-09-06 16:20
3.8M
mailsec-check-0_git20210729-r29.apk
2025-09-06 16:20
2.7M
makeclapman-2.4.4-r8.apk
2025-09-06 16:20
1.4M
makeclapman-doc-2.4.4-r8.apk
2025-09-06 16:20
4.1K
mangal-4.0.6-r21.apk
2025-09-06 16:20
11M
mangal-bash-completion-4.0.6-r21.apk
2025-09-06 16:20
5.0K
mangal-fish-completion-4.0.6-r21.apk
2025-09-06 16:20
3.9K
mangal-zsh-completion-4.0.6-r21.apk
2025-09-06 16:20
4.0K
manifest-tool-2.2.0-r4.apk
2025-09-06 16:20
4.1M
minikube-1.34.0-r8.apk
2025-09-06 16:20
21M
minikube-bash-completion-1.34.0-r8.apk
2025-09-06 16:20
10K
minikube-fish-completion-1.34.0-r8.apk
2025-09-06 16:20
4.6K
minikube-zsh-completion-1.34.0-r8.apk
2025-09-06 16:20
11K
mkbrr-1.15.0-r1.apk
2025-09-06 16:20
4.3M
mkcert-1.4.4-r22.apk
2025-09-06 16:20
1.8M
mobroute-0.10.0-r3.apk
2025-09-06 16:20
4.7M
mobroute-doc-0.10.0-r3.apk
2025-09-06 16:20
1.3M
mods-1.8.1-r1.apk
2025-09-06 16:20
11M
mods-doc-1.8.1-r1.apk
2025-09-06 16:20
2.3K
mqtt2prometheus-0.1.7-r19.apk
2025-09-06 16:20
4.7M
msh-2.5.0-r15.apk
2025-09-06 16:20
3.0M
msh-openrc-2.5.0-r15.apk
2025-09-06 16:20
2.0K
mtg-2.1.7-r24.apk
2025-09-06 16:20
4.7M
mtg-openrc-2.1.7-r24.apk
2025-09-06 16:20
1.9K
murex-7.0.2107-r3.apk
2025-09-06 16:20
6.4M
murex-doc-7.0.2107-r3.apk
2025-09-06 16:20
304K
naabu-2.3.5-r2.apk
2025-09-06 16:20
11M
naabu-doc-2.3.5-r2.apk
2025-09-06 16:20
2.3K
nerdlog-1.10.0-r3.apk
2025-09-06 16:20
2.9M
nerdlog-doc-1.10.0-r3.apk
2025-09-06 16:20
13K
net-predictable-1.5.1-r3.apk
2025-09-06 16:20
1.0M
net-predictable-doc-1.5.1-r3.apk
2025-09-06 16:20
2.2K
noggin-0.1-r21.apk
2025-09-06 16:20
1.4M
noggin-doc-0.1-r21.apk
2025-09-06 16:20
2.9K
nom-2.8.0-r6.apk
2025-09-06 16:20
7.2M
nom-doc-2.8.0-r6.apk
2025-09-06 16:20
4.0K
nuclei-3.4.10-r1.apk
2025-09-06 16:20
31M
nuclei-doc-3.4.10-r1.apk
2025-09-06 16:20
2.3K
nwg-bar-0.1.6-r13.apk
2025-09-06 16:20
1.6M
nwg-dock-0.4.3-r3.apk
2025-09-06 16:20
1.8M
nwg-menu-0.1.9-r2.apk
2025-09-06 16:20
1.8M
nwg-menu-doc-0.1.9-r2.apk
2025-09-06 16:20
2.3K
oauth2-proxy-7.11.0-r2.apk
2025-09-06 16:20
9.2M
oauth2-proxy-openrc-7.11.0-r2.apk
2025-09-06 16:20
2.1K
oils-for-unix-0.34.0-r1.apk
2025-09-06 16:20
647K
oils-for-unix-bash-0.34.0-r1.apk
2025-09-06 16:20
1.7K
oils-for-unix-binsh-0.34.0-r1.apk
2025-09-06 16:20
1.5K
oils-for-unix-doc-0.34.0-r1.apk
2025-09-06 16:20
7.0K
onionshare-2.6.3-r0.apk
2025-09-06 16:20
180K
onionshare-desktop-2.6.3-r0.apk
2025-09-06 16:20
1.3M
onionshare-pyc-2.6.3-r0.apk
2025-09-06 16:20
241K
opa-1.6.0-r2.apk
2025-09-06 16:20
11M
opa-bash-completion-1.6.0-r2.apk
2025-09-06 16:20
6.1K
opa-doc-1.6.0-r2.apk
2025-09-06 16:20
23K
opa-fish-completion-1.6.0-r2.apk
2025-09-06 16:20
4.3K
opa-zsh-completion-1.6.0-r2.apk
2025-09-06 16:20
4.0K
opcr-policy-0.3.0-r7.apk
2025-09-06 16:20
9.3M
pathvector-6.3.2-r16.apk
2025-09-06 16:20
4.1M
perl-cpan-audit-20250829.001-r0.apk
2025-09-06 16:20
14K
perl-cpan-audit-doc-20250829.001-r0.apk
2025-09-06 16:20
12K
perl-module-extract-version-1.119-r0.apk
2025-09-06 16:20
3.3K
perl-module-extract-version-doc-1.119-r0.apk
2025-09-06 16:20
3.5K
please-build-17.17.0-r3.apk
2025-09-06 16:20
7.6M
please-build-bash-completion-17.17.0-r3.apk
2025-09-06 16:20
1.9K
please-build-tools-17.17.0-r3.apk
2025-09-06 16:20
11M
please-build-zsh-completion-17.17.0-r3.apk
2025-09-06 16:20
1.9K
pomo-0.8.1-r26.apk
2025-09-06 16:20
1.7M
pomo-doc-0.8.1-r26.apk
2025-09-06 16:20
2.7K
popeye-0.22.1-r7.apk
2025-09-06 16:20
29M
prometheus-ceph-exporter-4.2.5-r7.apk
2025-09-06 16:20
3.8M
Ceph
prometheus-ceph-exporter-openrc-4.2.5-r7.apk
2025-09-06 16:20
1.9K
Ceph
prometheus-rethinkdb-exporter-1.0.1-r31.apk
2025-09-06 16:20
4.4M
prometheus-rethinkdb-exporter-openrc-1.0.1-r31.apk
2025-09-06 16:20
1.7K
prometheus-smartctl-exporter-0.14.0-r3.apk
2025-09-06 16:20
4.9M
prometheus-smartctl-exporter-openrc-0.14.0-r3.apk
2025-09-06 16:20
1.9K
prometheus-smtp2go-exporter-0.1.1-r2.apk
2025-09-06 16:20
3.6M
prometheus-smtp2go-exporter-openrc-0.1.1-r2.apk
2025-09-06 16:20
2.0K
protoc-gen-go-1.36.6-r3.apk
2025-09-06 16:20
2.4M
protoconf-0.1.7-r16.apk
2025-09-06 16:20
8.0M
ptcpdump-0.35.0-r1.apk
2025-09-06 16:20
12M
pug-0.6.2-r2.apk
2025-09-06 16:20
4.3M
pwru-1.0.7-r8.apk
2025-09-06 16:20
3.5M
py3-engineio-4.12.2-r0.apk
2025-09-06 16:20
49K
py3-engineio-doc-4.12.2-r0.apk
2025-09-06 16:20
33K
py3-engineio-pyc-4.12.2-r0.apk
2025-09-06 16:20
104K
py3-flask-socketio-5.5.1-r0.apk
2025-09-06 16:20
18K
py3-flask-socketio-doc-5.5.1-r0.apk
2025-09-06 16:20
23K
py3-flask-socketio-pyc-5.5.1-r0.apk
2025-09-06 16:20
26K
py3-simple-websocket-1.1.0-r0.apk
2025-09-06 16:20
11K
py3-simple-websocket-doc-1.1.0-r0.apk
2025-09-06 16:20
2.3K
py3-simple-websocket-pyc-1.1.0-r0.apk
2025-09-06 16:20
23K
py3-socketio-5.13.0-r0.apk
2025-09-06 16:20
62K
py3-socketio-doc-5.13.0-r0.apk
2025-09-06 16:20
36K
py3-socketio-pyc-5.13.0-r0.apk
2025-09-06 16:20
127K
pyonji-0.1.0-r9.apk
2025-09-06 16:20
3.0M
qbittorrent-cli-2.2.0-r3.apk
2025-09-06 16:20
5.9M
reg-0.16.1-r31.apk
2025-09-06 16:20
4.8M
regal-0.33.1-r3.apk
2025-09-06 16:20
12M
regal-bash-completion-0.33.1-r3.apk
2025-09-06 16:20
6.1K
regal-fish-completion-0.33.1-r3.apk
2025-09-06 16:20
4.3K
regal-zsh-completion-0.33.1-r3.apk
2025-09-06 16:20
4.0K
regclient-0.8.3-r3.apk
2025-09-06 16:20
14M
remco-0.12.5-r3.apk
2025-09-06 16:20
10M
remco-doc-0.12.5-r3.apk
2025-09-06 16:20
2.3K
remco-openrc-0.12.5-r3.apk
2025-09-06 16:20
1.7K
rke-1.4.3-r18.apk
2025-09-06 16:20
21M
rke-doc-1.4.3-r18.apk
2025-09-06 16:20
3.0K
ruby-mail-2.8.1-r0.apk
2025-09-06 16:20
394K
rust-script-0.36.0-r0.apk
2025-09-06 16:20
895K
ruuvi-prometheus-0.1.9-r5.apk
2025-09-06 16:20
3.5M
ruuvi-prometheus-openrc-0.1.9-r5.apk
2025-09-06 16:20
1.7K
s5cmd-2.3.0-r6.apk
2025-09-06 16:20
5.3M
satellite-1.0.0-r31.apk
2025-09-06 16:20
2.3M
satellite-doc-1.0.0-r31.apk
2025-09-06 16:20
3.0K
satellite-openrc-1.0.0-r31.apk
2025-09-06 16:20
1.9K
scalingo-1.30.0-r13.apk
2025-09-06 16:20
5.6M
seaweedfs-3.93-r2.apk
2025-09-06 16:20
27M
seaweedfs-doc-3.93-r2.apk
2025-09-06 16:20
14K
seaweedfs-openrc-3.93-r2.apk
2025-09-06 16:20
1.9K
secsipidx-1.3.2-r15.apk
2025-09-06 16:20
2.9M
secsipidx-dev-1.3.2-r15.apk
2025-09-06 16:20
5.1M
secsipidx-libs-1.3.2-r15.apk
2025-09-06 16:20
2.6M
simpleiot-0.18.3-r3.apk
2025-09-06 16:20
11M
sipexer-1.2.0-r6.apk
2025-09-06 16:20
2.9M
sish-2.16.1-r8.apk
2025-09-06 16:20
8.3M
sish-openrc-2.16.1-r8.apk
2025-09-06 16:20
1.9K
snippets-ls-0.0.4_git20240617-r8.apk
2025-09-06 16:20
1.4M
sonicradio-0.8.4-r0.apk
2025-09-06 16:20
3.4M
sos-0.8-r34.apk
2025-09-06 16:20
2.9M
spacectl-1.12.0-r4.apk
2025-09-06 16:20
6.0M
spacectl-bash-completion-1.12.0-r4.apk
2025-09-06 16:20
2.1K
spacectl-doc-1.12.0-r4.apk
2025-09-06 16:20
2.3K
spacectl-fish-completion-1.12.0-r4.apk
2025-09-06 16:20
7.1K
spacectl-zsh-completion-1.12.0-r4.apk
2025-09-06 16:20
1.8K
speedtest-go-1.1.5-r18.apk
2025-09-06 16:20
5.7M
speedtest-go-doc-1.1.5-r18.apk
2025-09-06 16:20
4.5K
speedtest-go-openrc-1.1.5-r18.apk
2025-09-06 16:20
1.7K
speedtest_exporter-0.3.2-r18.apk
2025-09-06 16:20
4.1M
speedtest_exporter-openrc-0.3.2-r18.apk
2025-09-06 16:20
1.9K
sq-0.48.5-r8.apk
2025-09-06 16:20
11M
sq-bash-completion-0.48.5-r8.apk
2025-09-06 16:20
5.0K
sq-doc-0.48.5-r8.apk
2025-09-06 16:20
5.9K
sq-fish-completion-0.48.5-r8.apk
2025-09-06 16:20
4.3K
sq-zsh-completion-0.48.5-r8.apk
2025-09-06 16:20
4.0K
ssh-cert-authority-2.0.0-r29.apk
2025-09-06 16:20
5.2M
sshsrv-1.0-r15.apk
2025-09-06 16:20
1.0M
stacker-1.0.0-r8.apk
2025-09-06 16:20
30M
stacker-doc-1.0.0-r8.apk
2025-09-06 16:20
15K
stayrtr-0.6.2-r7.apk
2025-09-06 16:20
11M
stayrtr-openrc-0.6.2-r7.apk
2025-09-06 16:20
2.0K
stern-1.32.0-r7.apk
2025-09-06 16:20
19M
stern-bash-completion-1.32.0-r7.apk
2025-09-06 16:20
5.8K
stern-fish-completion-1.32.0-r7.apk
2025-09-06 16:20
4.3K
stern-zsh-completion-1.32.0-r7.apk
2025-09-06 16:20
4.0K
sturmreader-3.7.2-r2.apk
2025-09-06 16:20
1.0M
sturmreader-lang-3.7.2-r2.apk
2025-09-06 16:20
39K
tangctl-0_git20241007-r7.apk
2025-09-06 16:20
2.8M
tanka-0.32.0-r3.apk
2025-09-06 16:20
4.7M
taskcafe-0.3.6-r16.apk
2025-09-06 16:20
14M
taskcafe-openrc-0.3.6-r16.apk
2025-09-06 16:20
1.8K
templ-0.3.920-r2.apk
2025-09-06 16:20
5.5M
tempo-2.8.2-r1.apk
2025-09-06 16:20
31M
tempo-cli-2.8.2-r1.apk
2025-09-06 16:20
22M
tempo-openrc-2.8.2-r1.apk
2025-09-06 16:20
1.9K
tempo-query-2.8.2-r1.apk
2025-09-06 16:20
6.9M
tempo-vulture-2.8.2-r1.apk
2025-09-06 16:20
14M
tempo-vulture-openrc-2.8.2-r1.apk
2025-09-06 16:20
2.0K
tenv-4.7.6-r3.apk
2025-09-06 16:20
10M
tenv-bash-completion-4.7.6-r3.apk
2025-09-06 16:20
6.1K
tenv-fish-completion-4.7.6-r3.apk
2025-09-06 16:20
4.3K
tenv-zsh-completion-4.7.6-r3.apk
2025-09-06 16:20
4.0K
tetragon-client-1.1.2-r8.apk
2025-09-06 16:20
21M
tetragon-client-bash-completion-1.1.2-r8.apk
2025-09-06 16:20
5.1K
tetragon-client-fish-completion-1.1.2-r8.apk
2025-09-06 16:20
4.3K
tetragon-client-zsh-completion-1.1.2-r8.apk
2025-09-06 16:20
4.0K
tfupdate-0.8.2-r9.apk
2025-09-06 16:20
5.1M
tfupdate-doc-0.8.2-r9.apk
2025-09-06 16:20
2.3K
thanos-0.31.0-r16.apk
2025-09-06 16:20
22M
thanos-openrc-0.31.0-r16.apk
2025-09-06 16:20
1.9K
ticker-4.8.1-r5.apk
2025-09-06 16:20
4.3M
ticker-bash-completion-4.8.1-r5.apk
2025-09-06 16:20
4.6K
ticker-fish-completion-4.8.1-r5.apk
2025-09-06 16:20
3.9K
ticker-zsh-completion-4.8.1-r5.apk
2025-09-06 16:20
3.7K
timeshift-25.07.7-r0.apk
2025-09-06 16:20
475K
timeshift-doc-25.07.7-r0.apk
2025-09-06 16:20
3.2K
timeshift-lang-25.07.7-r0.apk
2025-09-06 16:20
927K
timoni-0.23.0-r8.apk
2025-09-06 16:20
24M
timoni-bash-completion-0.23.0-r8.apk
2025-09-06 16:20
8.0K
timoni-doc-0.23.0-r8.apk
2025-09-06 16:20
338K
timoni-fish-completion-0.23.0-r8.apk
2025-09-06 16:20
4.3K
timoni-zsh-completion-0.23.0-r8.apk
2025-09-06 16:20
4.0K
tmpl-0.4.0-r14.apk
2025-09-06 16:20
2.8M
tmpl-doc-0.4.0-r14.apk
2025-09-06 16:20
2.2K
tofu-ls-0.0.9-r1.apk
2025-09-06 16:20
6.1M
tofu-ls-doc-0.0.9-r1.apk
2025-09-06 16:20
35K
tofutf-0.10.0-r8.apk
2025-09-06 16:20
1.3K
tofutf-agent-0.10.0-r8.apk
2025-09-06 16:20
9.5M
tofutf-agent-openrc-0.10.0-r8.apk
2025-09-06 16:20
2.0K
tofutf-cli-0.10.0-r8.apk
2025-09-06 16:20
8.8M
tofutf-server-0.10.0-r8.apk
2025-09-06 16:20
14M
tofutf-server-openrc-0.10.0-r8.apk
2025-09-06 16:20
2.0K
tonutils-reverse-proxy-0.4.6-r2.apk
2025-09-06 16:20
4.5M
tonutils-reverse-proxy-doc-0.4.6-r2.apk
2025-09-06 16:20
3.2K
tqm-1.16.0-r1.apk
2025-09-06 16:20
4.9M
transito-0.9.1-r11.apk
2025-09-06 16:20
8.6M
transito-doc-0.9.1-r11.apk
2025-09-06 16:20
755K
trivy-0.66.0-r1.apk
2025-09-06 16:20
68M
tty-proxy-0.0.2-r31.apk
2025-09-06 16:20
2.7M
tty-share-2.4.0-r21.apk
2025-09-06 16:20
3.9M
tuptime-5.2.4-r2.apk
2025-09-06 16:20
14K
tuptime-doc-5.2.4-r2.apk
2025-09-06 16:20
3.8K
tuptime-openrc-5.2.4-r2.apk
2025-09-06 16:20
1.8K
undock-0.10.0-r3.apk
2025-09-06 16:20
11M
up-0.4-r32.apk
2025-09-06 16:20
1.3M
upterm-0.14.3-r8.apk
2025-09-06 16:20
6.3M
upterm-bash-completion-0.14.3-r8.apk
2025-09-06 16:20
5.5K
upterm-doc-0.14.3-r8.apk
2025-09-06 16:20
6.4K
upterm-server-0.14.3-r8.apk
2025-09-06 16:20
6.2M
upterm-server-openrc-0.14.3-r8.apk
2025-09-06 16:20
1.9K
upterm-zsh-completion-0.14.3-r8.apk
2025-09-06 16:20
4.0K
usql-0.15.6-r16.apk
2025-09-06 16:20
31M
vals-0.42.0-r2.apk
2025-09-06 16:20
37M
vector-0.49.0-r1.apk
2025-09-06 16:20
24M
vector-doc-0.49.0-r1.apk
2025-09-06 16:20
5.4K
vector-openrc-0.49.0-r1.apk
2025-09-06 16:20
2.2K
virtctl-1.6.0-r2.apk
2025-09-06 16:20
16M
virtctl-bash-completion-1.6.0-r2.apk
2025-09-06 16:20
5.1K
virtctl-fish-completion-1.6.0-r2.apk
2025-09-06 16:20
4.3K
virtctl-zsh-completion-1.6.0-r2.apk
2025-09-06 16:20
4.0K
virter-0.29.0-r3.apk
2025-09-06 16:20
6.0M
virter-bash-completion-0.29.0-r3.apk
2025-09-06 16:20
6.1K
virter-doc-0.29.0-r3.apk
2025-09-06 16:20
15K
virter-fish-completion-0.29.0-r3.apk
2025-09-06 16:20
4.3K
virter-zsh-completion-0.29.0-r3.apk
2025-09-06 16:20
4.0K
walk-1.13.0-r6.apk
2025-09-06 16:20
3.2M
walk-doc-1.13.0-r6.apk
2025-09-06 16:20
2.2K
warp-s3-1.3.0-r1.apk
2025-09-06 16:20
8.5M
wazero-1.9.0-r5.apk
2025-09-06 16:20
2.1M
webhookd-1.20.2-r3.apk
2025-09-06 16:20
3.4M
webhookd-doc-1.20.2-r3.apk
2025-09-06 16:20
2.3K
webhookd-openrc-1.20.2-r3.apk
2025-09-06 16:20
2.2K
webtunnel-0.0.2-r4.apk
2025-09-06 16:20
3.8M
wgcf-2.2.26-r3.apk
2025-09-06 16:20
4.1M
wgcf-bash-completion-2.2.26-r3.apk
2025-09-06 16:20
6.1K
wgcf-fish-completion-2.2.26-r3.apk
2025-09-06 16:20
4.3K
wgcf-zsh-completion-2.2.26-r3.apk
2025-09-06 16:20
4.0K
wl-gammarelay-0.1.3-r2.apk
2025-09-06 16:20
1.7M
wtfutil-0.43.0-r16.apk
2025-09-06 16:20
20M
xmpp-dns-0.2.4-r27.apk
2025-09-06 16:20
2.0M
xonsh-0.19.1-r1.apk
2025-09-06 16:20
585K
xonsh-pyc-0.19.1-r1.apk
2025-09-06 16:20
1.0M
yaegi-0.16.1-r11.apk
2025-09-06 16:20
7.6M
yamldiff-0.3.0-r1.apk
2025-09-06 16:20
1.7M
yamldiff-doc-0.3.0-r1.apk
2025-09-06 16:20
2.2K
yubikey-agent-0.1.6-r15.apk
2025-09-06 16:20
2.0M
zarf-0.32.1-r13.apk
2025-09-06 16:20
56M
zot-2.1.7-r3.apk
2025-09-06 16:21
78M
zot-cli-2.1.7-r3.apk
2025-09-06 16:21
11M
zot-cli-bash-completion-2.1.7-r3.apk
2025-09-06 16:21
6.1K
zot-cli-fish-completion-2.1.7-r3.apk
2025-09-06 16:21
4.3K
zot-cli-zsh-completion-2.1.7-r3.apk
2025-09-06 16:21
4.0K
zot-doc-2.1.7-r3.apk
2025-09-06 16:21
9.4K
zot-exporter-2.1.7-r3.apk
2025-09-06 16:21
4.1M
zot-openrc-2.1.7-r3.apk
2025-09-06 16:21
2.0K
zrepl-0.6.1-r14.apk
2025-09-06 16:21
7.1M
zrepl-bash-completion-0.6.1-r14.apk
2025-09-06 16:21
4.5K
zrepl-openrc-0.6.1-r14.apk
2025-09-06 16:21
1.7K
zrepl-zsh-completion-0.6.1-r14.apk
2025-09-06 16:21
2.0K
argocd-3.1.4-r0.apk
2025-09-07 00:14
44M
argocd-bash-completion-3.1.4-r0.apk
2025-09-07 00:14
21K
argocd-doc-3.1.4-r0.apk
2025-09-07 00:14
5.5K
argocd-zsh-completion-3.1.4-r0.apk
2025-09-07 00:14
4.0K
emacs-llama-1.0.1-r0.apk
2025-09-07 06:12
13K
cargo-leptos-0.2.43-r0.apk
2025-09-07 13:21
8.7M
cargo-leptos-doc-0.2.43-r0.apk
2025-09-07 13:21
2.2K
lomiri-indicator-network-1.1.2-r0.apk
2025-09-08 01:00
600K
lomiri-indicator-network-dev-1.1.2-r0.apk
2025-09-08 01:00
9.5K
lomiri-indicator-network-doc-1.1.2-r0.apk
2025-09-08 01:00
2.1K
lomiri-indicator-network-lang-1.1.2-r0.apk
2025-09-08 01:00
199K
persistent-cache-cpp-1.0.9-r0.apk
2025-09-08 01:00
44K
persistent-cache-cpp-dev-1.0.9-r0.apk
2025-09-08 01:00
18K
persistent-cache-cpp-doc-1.0.9-r0.apk
2025-09-08 01:00
3.2K
ait-1.12-r0.apk
2025-09-08 09:02
61K
ait-doc-1.12-r0.apk
2025-09-08 09:02
20K
gitu-0.35.0-r0.apk
2025-09-08 09:02
4.8M
gitu-doc-0.35.0-r0.apk
2025-09-08 09:02
3.5K
makepp-2.0.99.2-r0.apk
2025-09-08 09:02
517K
makepp-doc-2.0.99.2-r0.apk
2025-09-08 09:02
266K
oniux-0.6.1-r0.apk
2025-09-08 15:37
6.0M
oniux-doc-0.6.1-r0.apk
2025-09-08 15:37
3.3K
nauty-2.9.1-r0.apk
2025-09-08 16:40
5.7M
nauty-dev-2.9.1-r0.apk
2025-09-08 16:40
3.5M
nauty-libs-2.9.1-r0.apk
2025-09-08 16:40
2.6M
odin-0.2025.09-r0.apk
2025-09-09 07:59
3.1M
odin-doc-0.2025.09-r0.apk
2025-09-09 07:59
4.8K
odin-vendor-0.2025.09-r0.apk
2025-09-09 07:59
3.7M
butane-0.25.0-r0.apk
2025-09-09 08:02
3.3M
anubis-1.22.0-r1.apk
2025-09-09 08:41
9.4M
anubis-doc-1.22.0-r1.apk
2025-09-09 08:41
2.3K
anubis-openrc-1.22.0-r1.apk
2025-09-09 08:41
1.9K
xml2rfc-3.28.1-r2.apk
2025-09-09 08:47
352K
xml2rfc-pyc-3.28.1-r2.apk
2025-09-09 08:47
408K
lidarr-2.13.3.4711-r0.apk
2025-09-09 13:26
20M
lidarr-openrc-2.13.3.4711-r0.apk
2025-09-09 13:26
2.0K
gdcm-3.0.24-r3.apk
2025-09-09 20:06
371K
gdcm-dev-3.0.24-r3.apk
2025-09-09 20:06
449K
gdcm-doc-3.0.24-r3.apk
2025-09-09 20:06
55K
gdcm-doc-html-3.0.24-r3.apk
2025-09-09 20:06
8.8M
gdcm-doc-pdf-3.0.24-r3.apk
2025-09-09 20:06
14M
java-gdcm-3.0.24-r3.apk
2025-09-09 20:06
614K
libgdcm-3.0.24-r3.apk
2025-09-09 20:06
2.5M
php81-pecl-zstd-0.15.2-r0.apk
2025-09-09 20:06
16K
php85-pecl-zstd-0.15.2-r0.apk
2025-09-09 20:06
16K
py3-gdcm-3.0.24-r3.apk
2025-09-09 20:06
659K
smile-2.10.2-r0.apk
2025-09-09 21:19
863K
smile-lang-2.10.2-r0.apk
2025-09-09 21:19
28K
py3-joserfc-1.3.2-r0.apk
2025-09-09 21:20
56K
py3-joserfc-pyc-1.3.2-r0.apk
2025-09-09 21:20
109K
chasquid-1.16.0-r0.apk
2025-09-09 21:22
12M
chasquid-doc-1.16.0-r0.apk
2025-09-09 21:22
11K
chasquid-openrc-1.16.0-r0.apk
2025-09-09 21:22
1.9K
php85-8.5.0_beta3-r1.apk
2025-09-09 22:29
3.2M
php85-apache2-8.5.0_beta3-r1.apk
2025-09-09 22:29
3.2M
php85-bcmath-8.5.0_beta3-r1.apk
2025-09-09 22:29
29K
php85-bz2-8.5.0_beta3-r1.apk
2025-09-09 22:29
10K
php85-calendar-8.5.0_beta3-r1.apk
2025-09-09 22:29
12K
php85-cgi-8.5.0_beta3-r1.apk
2025-09-09 22:29
3.2M
php85-common-8.5.0_beta3-r1.apk
2025-09-09 22:29
24K
php85-ctype-8.5.0_beta3-r1.apk
2025-09-09 22:29
4.7K
php85-curl-8.5.0_beta3-r1.apk
2025-09-09 22:29
41K
php85-dba-8.5.0_beta3-r1.apk
2025-09-09 22:29
25K
php85-dbg-8.5.0_beta3-r1.apk
2025-09-09 22:29
61M
php85-dev-8.5.0_beta3-r1.apk
2025-09-09 22:29
1.3M
php85-doc-8.5.0_beta3-r1.apk
2025-09-09 22:29
62K
php85-dom-8.5.0_beta3-r1.apk
2025-09-09 22:29
141K
php85-embed-8.5.0_beta3-r1.apk
2025-09-09 22:29
3.2M
php85-enchant-8.5.0_beta3-r1.apk
2025-09-09 22:29
9.3K
php85-exif-8.5.0_beta3-r1.apk
2025-09-09 22:29
30K
php85-ffi-8.5.0_beta3-r1.apk
2025-09-09 22:29
69K
php85-fileinfo-8.5.0_beta3-r1.apk
2025-09-09 22:29
499K
php85-fpm-8.5.0_beta3-r1.apk
2025-09-09 22:29
3.3M
php85-ftp-8.5.0_beta3-r1.apk
2025-09-09 22:29
24K
php85-gd-8.5.0_beta3-r1.apk
2025-09-09 22:29
130K
php85-gettext-8.5.0_beta3-r1.apk
2025-09-09 22:29
6.8K
php85-gmp-8.5.0_beta3-r1.apk
2025-09-09 22:29
19K
php85-iconv-8.5.0_beta3-r1.apk
2025-09-09 22:29
18K
php85-intl-8.5.0_beta3-r1.apk
2025-09-09 22:29
177K
php85-ldap-8.5.0_beta3-r1.apk
2025-09-09 22:29
32K
php85-litespeed-8.5.0_beta3-r1.apk
2025-09-09 22:29
3.2M
php85-mbstring-8.5.0_beta3-r1.apk
2025-09-09 22:29
635K
php85-mysqli-8.5.0_beta3-r1.apk
2025-09-09 22:29
42K
php85-mysqlnd-8.5.0_beta3-r1.apk
2025-09-09 22:29
76K
php85-odbc-8.5.0_beta3-r1.apk
2025-09-09 22:29
26K
php85-openssl-8.5.0_beta3-r1.apk
2025-09-09 22:29
79K
php85-pcntl-8.5.0_beta3-r1.apk
2025-09-09 22:29
17K
php85-pdo-8.5.0_beta3-r1.apk
2025-09-09 22:29
44K
php85-pdo_dblib-8.5.0_beta3-r1.apk
2025-09-09 22:29
13K
php85-pdo_mysql-8.5.0_beta3-r1.apk
2025-09-09 22:29
15K
php85-pdo_odbc-8.5.0_beta3-r1.apk
2025-09-09 22:29
14K
php85-pdo_pgsql-8.5.0_beta3-r1.apk
2025-09-09 22:29
24K
php85-pdo_sqlite-8.5.0_beta3-r1.apk
2025-09-09 22:29
18K
php85-pear-8.5.0_beta3-r1.apk
2025-09-09 22:29
338K
php85-pgsql-8.5.0_beta3-r1.apk
2025-09-09 22:29
55K
php85-phar-8.5.0_beta3-r1.apk
2025-09-09 22:29
121K
php85-phpdbg-8.5.0_beta3-r1.apk
2025-09-09 22:29
3.3M
php85-posix-8.5.0_beta3-r1.apk
2025-09-09 22:29
12K
php85-session-8.5.0_beta3-r1.apk
2025-09-09 22:29
39K
php85-shmop-8.5.0_beta3-r1.apk
2025-09-09 22:29
6.2K
php85-simplexml-8.5.0_beta3-r1.apk
2025-09-09 22:29
21K
php85-snmp-8.5.0_beta3-r1.apk
2025-09-09 22:29
21K
php85-soap-8.5.0_beta3-r1.apk
2025-09-09 22:29
138K
php85-sockets-8.5.0_beta3-r1.apk
2025-09-09 22:29
40K
php85-sodium-8.5.0_beta3-r1.apk
2025-09-09 22:29
27K
php85-sqlite3-8.5.0_beta3-r1.apk
2025-09-09 22:29
24K
php85-sysvmsg-8.5.0_beta3-r1.apk
2025-09-09 22:29
7.6K
php85-sysvsem-8.5.0_beta3-r1.apk
2025-09-09 22:29
5.6K
php85-sysvshm-8.5.0_beta3-r1.apk
2025-09-09 22:29
6.5K
php85-tidy-8.5.0_beta3-r1.apk
2025-09-09 22:29
19K
php85-tokenizer-8.5.0_beta3-r1.apk
2025-09-09 22:29
11K
php85-xml-8.5.0_beta3-r1.apk
2025-09-09 22:29
24K
php85-xmlreader-8.5.0_beta3-r1.apk
2025-09-09 22:29
15K
php85-xmlwriter-8.5.0_beta3-r1.apk
2025-09-09 22:29
13K
php85-xsl-8.5.0_beta3-r1.apk
2025-09-09 22:29
14K
php85-zip-8.5.0_beta3-r1.apk
2025-09-09 22:29
30K
perl-mce-1.902-r0.apk
2025-09-10 04:00
134K
perl-mce-doc-1.902-r0.apk
2025-09-10 04:00
171K
perl-module-generic-1.0.6-r0.apk
2025-09-10 04:00
316K
perl-module-generic-doc-1.0.6-r0.apk
2025-09-10 04:00
259K
ibus-typing-booster-2.27.73-r0.apk
2025-09-10 09:09
12M
ibus-typing-booster-lang-2.27.73-r0.apk
2025-09-10 09:09
294K
ibus-typing-booster-pyc-2.27.73-r0.apk
2025-09-10 09:09
1.2M
jadx-1.5.3-r0.apk
2025-09-10 12:54
111M
jadx-doc-1.5.3-r0.apk
2025-09-10 12:54
5.5K
ytt-0.52.1-r0.apk
2025-09-10 14:27
4.8M
ostui-1.0.4-r0.apk
2025-09-11 02:50
5.0M
ostui-doc-1.0.4-r0.apk
2025-09-11 02:50
28K
otf-0.4.1-r0.apk
2025-09-11 08:18
1.3K
otf-agent-0.4.1-r0.apk
2025-09-11 08:18
8.6M
otf-agent-openrc-0.4.1-r0.apk
2025-09-11 08:18
2.0K
otf-cli-0.4.1-r0.apk
2025-09-11 08:18
8.5M
otf-server-0.4.1-r0.apk
2025-09-11 08:18
14M
otf-server-openrc-0.4.1-r0.apk
2025-09-11 08:18
2.0K
prometheus-opnsense-exporter-0.0.10-r0.apk
2025-09-11 08:18
5.0M
prometheus-opnsense-exporter-openrc-0.0.10-r0.apk
2025-09-11 08:18
2.1K
git-quick-stats-2.8.0-r0.apk
2025-09-11 08:18
15K
git-quick-stats-doc-2.8.0-r0.apk
2025-09-11 08:18
3.5K
grafana-image-renderer-4.0.14-r0.apk
2025-09-11 09:47
62M
grafana-image-renderer-openrc-4.0.14-r0.apk
2025-09-11 09:47
2.0K
oha-1.10.0-r0.apk
2025-09-11 11:23
3.1M
oha-doc-1.10.0-r0.apk
2025-09-11 11:23
2.2K
lomiri-history-service-0.6-r13.apk
2025-09-11 12:05
345K
lomiri-history-service-dev-0.6-r13.apk
2025-09-11 12:05
11K
lomiri-telephony-service-0.6.1-r8.apk
2025-09-11 12:05
1.0M
lomiri-telephony-service-lang-0.6.1-r8.apk
2025-09-11 12:05
108K
nm-tray-0.5.1-r0.apk
2025-09-11 17:35
101K
nm-tray-lang-0.5.1-r0.apk
2025-09-11 17:35
27K
rustical-0.9.4-r0.apk
2025-09-12 00:33
4.4M
perl-snmp-info-3.974000-r0.apk
2025-09-12 04:49
337K
perl-snmp-info-doc-3.974000-r0.apk
2025-09-12 04:49
390K
cava-0.10.6-r0.apk
2025-09-12 08:10
47K
ruri-3.9.2-r0.apk
2025-09-12 11:26
130K
ruri-doc-3.9.2-r0.apk
2025-09-12 11:26
2.2K
calibre-8.7.0-r2.apk
2025-09-12 17:18
41M
calibre-bash-completion-8.7.0-r2.apk
2025-09-12 17:18
5.3K
calibre-doc-8.7.0-r2.apk
2025-09-12 17:18
1.9M
calibre-pyc-8.7.0-r2.apk
2025-09-12 17:18
2.6K
calibre-zsh-completion-8.7.0-r2.apk
2025-09-12 17:18
45K
qadwaitadecorations-0.1.6-r2.apk
2025-09-12 17:18
45K
qt6ct-0.9-r5.apk
2025-09-12 17:18
192K
litterbox-1.9-r2.apk
2025-09-12 22:22
32K
litterbox-doc-1.9-r2.apk
2025-09-12 22:22
7.2K
pounce-3.1-r4.apk
2025-09-12 22:22
28K
pounce-doc-3.1-r4.apk
2025-09-12 22:22
8.5K
pounce-openrc-3.1-r4.apk
2025-09-12 22:22
2.7K
sacc-1.07-r1.apk
2025-09-12 22:22
16K
sacc-doc-1.07-r1.apk
2025-09-12 22:22
2.9K
tcpbench-3.00-r1.apk
2025-09-12 22:22
12K
tcpbench-doc-3.00-r1.apk
2025-09-12 22:22
5.3K
waynergy-0.0.17-r1.apk
2025-09-12 22:22
46K
aws-lc-1.61.0-r0.apk
2025-09-13 06:38
1.5M
aws-lc-dev-1.61.0-r0.apk
2025-09-13 06:38
419K
aws-lc-tools-1.61.0-r0.apk
2025-09-13 06:38
134K
trealla-2.82.33-r0.apk
2025-09-13 06:38
795K
trealla-doc-2.82.33-r0.apk
2025-09-13 06:38
25K
duf-0.9.1-r0.apk
2025-09-13 16:23
1.3M
duf-doc-0.9.1-r0.apk
2025-09-13 16:23
4.7K
hyperrogue-13.1e-r0.apk
2025-09-13 16:23
84M
hyperrogue-doc-13.1e-r0.apk
2025-09-13 16:23
8.0K
kubeseal-0.32.1-r0.apk
2025-09-13 16:23
12M
kubeseal-doc-0.32.1-r0.apk
2025-09-13 16:23
5.5K
ptouch-print-1.7-r0.apk
2025-09-13 16:23
28K
ptouch-print-doc-1.7-r0.apk
2025-09-13 16:23
3.1K
reap-0.2-r0.apk
2025-09-13 16:23
5.0K
reap-doc-0.2-r0.apk
2025-09-13 16:23
2.7K
stalwart-cli-0.13.3-r0.apk
2025-09-13 16:23
2.7M
stalwart-mail-0.13.3-r0.apk
2025-09-13 16:23
15M
stalwart-mail-openrc-0.13.3-r0.apk
2025-09-13 16:23
2.1K
xone-src-0.4.5-r0.apk
2025-09-13 16:23
57K
xpar-0.5-r0.apk
2025-09-13 16:23
20K
xpar-doc-0.5-r0.apk
2025-09-13 16:23
4.3K
krdp-6.4.5-r0.apk
2025-09-13 22:00
189K
krdp-lang-6.4.5-r0.apk
2025-09-13 22:00
55K
py3-wikipedia-1.4.0-r0.apk
2025-09-14 07:34
12K
py3-wikipedia-doc-1.4.0-r0.apk
2025-09-14 07:34
4.1K
py3-wikipedia-pyc-1.4.0-r0.apk
2025-09-14 07:34
16K
ufw-docker-250710-r0.apk
2025-09-14 07:34
7.4K
ufw-docker-doc-250710-r0.apk
2025-09-14 07:34
14K
caprine-2.60.3-r4.apk
2025-09-14 18:52
16M
code-oss-1.103.0-r2.apk
2025-09-14 18:52
25M
code-oss-bash-completion-1.103.0-r2.apk
2025-09-14 18:52
2.2K
code-oss-zsh-completion-1.103.0-r2.apk
2025-09-14 18:52
2.7K
electron-37.5.0-r0.apk
2025-09-14 18:52
97M
electron-dev-37.5.0-r0.apk
2025-09-14 18:52
337K
electron-lang-37.5.0-r0.apk
2025-09-14 18:52
10M
freetube-0.23.8-r1.apk
2025-09-14 18:52
1.6M
mattermost-desktop-5.11.0-r4.apk
2025-09-14 18:52
4.2M
py3-requests-pkcs12-1.27-r0.apk
2025-09-14 18:52
6.5K
py3-requests-pkcs12-pyc-1.27-r0.apk
2025-09-14 18:52
8.1K
signal-desktop-7.70.0-r1.apk
2025-09-14 18:52
45M
vscodium-1.103.25610-r2.apk
2025-09-14 18:52
28M
vscodium-bash-completion-1.103.25610-r2.apk
2025-09-14 18:52
2.2K
vscodium-zsh-completion-1.103.25610-r2.apk
2025-09-14 18:52
2.7K
zulip-desktop-5.12.0-r4.apk
2025-09-14 18:52
2.2M
py3-unidns-0.0.3-r0.apk
2025-09-14 19:27
14K
py3-unidns-examples-0.0.3-r0.apk
2025-09-14 19:27
2.6K
py3-unidns-pyc-0.0.3-r0.apk
2025-09-14 19:27
25K
rygel-45.0-r0.apk
2025-09-14 19:27
768K
rygel-dev-45.0-r0.apk
2025-09-14 19:27
43K
rygel-doc-45.0-r0.apk
2025-09-14 19:27
9.7K
rygel-lang-45.0-r0.apk
2025-09-14 19:27
584K
texstudio-4.8.9-r0.apk
2025-09-14 19:27
82M
wine-staging-10.15-r0.apk
2025-09-14 22:16
129M
wine-staging-dev-10.15-r0.apk
2025-09-14 22:16
13M
wine-staging-doc-10.15-r0.apk
2025-09-14 22:16
43K
nitro-init-0.3-r0.apk
2025-09-14 23:52
19K
nitro-init-doc-0.3-r0.apk
2025-09-14 23:52
4.3K
melange-0.31.4-r0.apk
2025-09-15 07:38
12M
melange-bash-completion-0.31.4-r0.apk
2025-09-15 07:38
6.6K
melange-fish-completion-0.31.4-r0.apk
2025-09-15 07:38
4.3K
melange-zsh-completion-0.31.4-r0.apk
2025-09-15 07:38
4.0K
gtranslator-49.0-r0.apk
2025-09-15 07:46
139K
gtranslator-doc-49.0-r0.apk
2025-09-15 07:46
638K
gtranslator-lang-49.0-r0.apk
2025-09-15 07:46
593K
victoria-logs-1.33.1-r0.apk
2025-09-15 12:34
5.4M
victoria-logs-openrc-1.33.1-r0.apk
2025-09-15 12:34
2.4K
victoria-logs-vlagent-1.33.1-r0.apk
2025-09-15 12:34
3.9M
victoria-logs-vlogscli-1.33.1-r0.apk
2025-09-15 12:34
3.3M
font-hanazono-20170904-r2.apk
2025-09-15 12:59
29M
visidata-3.3-r0.apk
2025-09-15 13:56
425K
visidata-doc-3.3-r0.apk
2025-09-15 13:56
18K
visidata-pyc-3.3-r0.apk
2025-09-15 13:56
846K
visidata-zsh-completion-3.3-r0.apk
2025-09-15 13:56
9.4K
unit-php81-1.35.0-r1.apk
2025-09-15 15:03
32K
scooter-0.6.0-r0.apk
2025-09-15 20:49
1.7M
scooter-doc-0.6.0-r0.apk
2025-09-15 20:49
2.3K
unit-php85-1.35.0-r1.apk
2025-09-15 22:12
32K
bazel8-8.4.1-r0.apk
2025-09-15 22:24
33M
bazel8-bash-completion-8.4.1-r0.apk
2025-09-15 22:24
65K
gst-video-thumbnailer-0_git20250915-r0.apk
2025-09-16 07:02
172K
prometheus-podman-exporter-1.18.1-r0.apk
2025-09-16 08:11
15M
skint-0.6.5-r0.apk
2025-09-16 08:58
516K
lomiri-thumbnailer-3.0.4-r3.apk
2025-09-16 09:04
214K
lomiri-thumbnailer-dev-3.0.4-r3.apk
2025-09-16 09:04
5.1K
lomiri-thumbnailer-doc-3.0.4-r3.apk
2025-09-16 09:04
1.5K
py3-meshtastic-2.7.2-r0.apk
2025-09-16 14:05
540K
element-desktop-1.11.112-r0.apk
2025-09-16 16:10
36M
lutris-0.5.19-r1.apk
2025-09-16 18:09
819K
lutris-doc-0.5.19-r1.apk
2025-09-16 18:09
2.3K
lutris-lang-0.5.19-r1.apk
2025-09-16 18:09
810K
lutris-pyc-0.5.19-r1.apk
2025-09-16 18:09
1.1M
plakar-1.0.4-r0.apk
2025-09-16 18:37
19M
plakar-doc-1.0.4-r0.apk
2025-09-16 18:37
2.1K
snapper-0.13.0-r0.apk
2025-09-16 18:45
951K
snapper-bash-completion-0.13.0-r0.apk
2025-09-16 18:45
3.4K
snapper-dev-0.13.0-r0.apk
2025-09-16 18:45
11K
snapper-doc-0.13.0-r0.apk
2025-09-16 18:45
25K
snapper-lang-0.13.0-r0.apk
2025-09-16 18:45
219K
snapper-zsh-completion-0.13.0-r0.apk
2025-09-16 18:45
3.6K
quodlibet-4.7.1-r0.apk
2025-09-16 18:53
1.0M
quodlibet-bash-completion-4.7.1-r0.apk
2025-09-16 18:53
4.5K
quodlibet-doc-4.7.1-r0.apk
2025-09-16 18:53
8.7K
quodlibet-lang-4.7.1-r0.apk
2025-09-16 18:53
1.4M
quodlibet-pyc-4.7.1-r0.apk
2025-09-16 18:53
1.8M
quodlibet-zsh-completion-4.7.1-r0.apk
2025-09-16 18:53
2.7K
mat2-0.13.5-r0.apk
2025-09-16 19:00
35K
mat2-doc-0.13.5-r0.apk
2025-09-16 19:00
7.7K
mat2-pyc-0.13.5-r0.apk
2025-09-16 19:00
54K
APKINDEX.tar.gz
2025-09-16 22:08
1.0M
zizmor-1.13.0-r0.apk
2025-09-16 22:08
3.8M
zizmor-doc-1.13.0-r0.apk
2025-09-16 22:08
2.3K