Index of /alpine/edge/testing/x86_64

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]lua-psl-0.3-r0.apk2024-10-25 19:01 1.1K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 19:01 1.1K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 19:01 1.2K 
[   ]msgpuck-2.0-r1.apk2024-10-25 19:02 1.2K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 19:01 1.2K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 19:01 1.2K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 19:01 1.2K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 19:01 1.2K 
[   ]libnest2d-0.4-r7.apk2025-02-06 04:45 1.2K 
[   ]linuxptp-4.4-r0.apk2024-11-17 17:29 1.2K 
[   ]libqofono-0.124-r0.apk2025-01-10 17:03 1.2K 
[   ]restinio-0.6.19-r1.apk2024-12-14 21:23 1.2K 
[   ]simpleble-0.10.3-r0.apk2025-07-11 03:12 1.2K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 19:01 1.2K 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 14:33 1.3K 
[   ]tofutf-0.10.0-r10.apk2025-12-04 22:48 1.3K 
[   ]flutter-3.38.4-r1.apk2025-12-18 22:40 1.3K 
[   ]otf-0.4.9-r0.apk2025-12-04 22:48 1.3K 
[   ]freshrss-mysql-1.27.1-r0.apk2025-11-03 08:30 1.3K 
[   ]freshrss-sqlite-1.27.1-r0.apk2025-11-03 08:30 1.3K 
[   ]freshrss-pgsql-1.27.1-r0.apk2025-11-03 08:30 1.3K 
[   ]gst-thumbnailers-1.0_alpha1-r2.apk2025-12-20 19:24 1.3K 
[   ]kanidm-1.8.5-r0.apk2025-12-13 18:49 1.3K 
[   ]convert2json-ini-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]convert2json-plist-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]phosh-osk-data-0.42.0-r0.apk2025-12-16 16:43 1.3K 
[   ]convert2json-bson-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]convert2json-xml-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]convert2json-csv-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]convert2json-cbor-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]convert2json-rsv-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]convert2json-toml-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]convert2json-yaml-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 21:37 1.3K 
[   ]convert2json-messagepack-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 21:37 1.3K 
[   ]nb-full-7.19.1-r0.apk2025-05-24 22:10 1.3K 
[   ]ruby-build-runtime-20250925-r0.apk2025-09-28 15:45 1.3K 
[   ]convert2json-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]musikcube-plugin-all-3.0.5-r0.apk2025-09-27 21:15 1.3K 
[   ]convert2json-json-2.4.1-r0.apk2025-12-15 16:18 1.3K 
[   ]convert2json-jaq-2.4.1-r0.apk2025-12-15 16:18 1.4K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 19:00 1.4K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 19:01 1.4K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 21:37 1.4K 
[   ]arc-theme-20221218-r0.apk2024-10-25 18:59 1.4K 
[   ]luacov-0.15.0-r0.apk2024-10-25 19:01 1.4K 
[   ]nextpnr-0.7-r0.apk2024-10-25 19:02 1.4K 
[   ]font-monaspace-1.101-r0.apk2024-10-25 19:01 1.5K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 19:02 1.5K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 19:01 1.5K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 19:01 1.5K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 18:59 1.5K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 19:01 1.5K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 19:03 1.5K 
[   ]ovos-0.0.1-r1.apk2024-10-25 19:02 1.5K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 19:02 1.5K 
[   ]biboumi-doc-9.0-r9.apk2025-10-06 11:28 1.5K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-25 19:01 1.5K 
[   ]newlib-esp-0_git20240109-r0.apk2024-10-25 19:02 1.5K 
[   ]oils-for-unix-binsh-0.35.0-r0.apk2025-09-28 22:29 1.5K 
[   ]remake-make-1.5-r1.apk2024-10-25 19:03 1.5K 
[   ]66-init-0.8.2.1-r0.apk2025-06-03 19:11 1.5K 
[   ]qt5ct-dev-1.9-r0.apk2025-11-19 04:37 1.5K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 19:01 1.5K 
[   ]subtitleeditor-dev-0.55.0-r0.apk2025-11-20 22:18 1.6K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 19:01 1.6K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-24 23:02 1.6K 
[   ]openocd-git-cmd-openocd-0_git20251018-r1.apk2025-10-20 21:45 1.6K 
[   ]py3-litex-full-2024.04-r0.apk2024-10-25 19:02 1.6K 
[   ]libopensles-standalone-dev-0_git20250913-r0.apk2025-09-22 13:31 1.6K 
[   ]build-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 1.6K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 19:02 1.6K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:29 1.6K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 19:02 1.6K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 19:02 1.6K 
[   ]neocmakelsp-fish-completion-0.9.0-r0.apk2025-11-22 13:30 1.6K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 19:02 1.6K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 19:03 1.6K 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 19:03 1.6K 
[   ]barman-bash-completion-3.16.2-r0.apk2025-11-20 22:18 1.6K 
[   ]aprilsh-0.7.12-r10.apk2025-12-04 22:48 1.6K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 08:45 1.6K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 19:03 1.6K 
[   ]mbpfan-openrc-2.4.0-r1.apk2024-10-25 19:02 1.6K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 08:45 1.6K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 19:04 1.6K 
[   ]pneink-theme-doc-1.3-r0.apk2025-07-30 00:21 1.7K 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 20:17 1.7K 
[   ]clang-next-ccache-22.0.0_pre20251108-r0.apk2025-11-09 09:38 1.7K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 08:45 1.7K 
[   ]dstask-zsh-completion-0.27-r4.apk2025-12-04 22:48 1.7K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 08:45 1.7K 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk2025-12-04 22:48 1.7K 
[   ]materia-20210322-r3.apk2025-07-10 17:09 1.7K 
[   ]ruuvi-prometheus-openrc-0.1.9-r7.apk2025-12-04 22:48 1.7K 
[   ]conserver-openrc-8.2.7-r0.apk2025-12-18 19:45 1.7K 
[   ]dstask-fish-completion-0.27-r4.apk2025-12-04 22:48 1.7K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 08:45 1.7K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-22 14:39 1.7K 
[   ]sssd-openrc-2.11.1-r2.apk2025-11-20 22:18 1.7K 
[   ]oils-for-unix-bash-0.35.0-r0.apk2025-09-28 22:29 1.7K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 08:45 1.7K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 18:59 1.7K 
[   ]materia-dark-20210322-r3.apk2025-07-10 17:09 1.7K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-19 16:47 1.7K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 11:13 1.7K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 11:07 1.7K 
[   ]materia-compact-20210322-r3.apk2025-07-10 17:09 1.7K 
[   ]efl-gdb-1.28.1-r2.apk2025-03-24 04:33 1.7K 
[   ]3proxy-openrc-0.9.5-r1.apk2025-11-17 13:11 1.7K 
[   ]interception-tools-openrc-0.6.8-r3.apk2025-10-12 14:19 1.7K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 19:01 1.7K 
[   ]nymphcast-mediaserver-nftables-0.1-r4.apk2025-08-28 21:07 1.7K 
[   ]fastd-openrc-23-r0.apk2025-01-29 16:00 1.7K 
[   ]fileshelter-openrc-6.2.0-r4.apk2025-09-27 22:22 1.7K 
[   ]deviced-openrc-0_git20250427-r0.apk2025-07-05 20:03 1.7K 
[   ]flutter-tool-developer-3.38.4-r1.apk2025-12-18 22:40 1.7K 
[   ]hypridle-openrc-0.1.7-r1.apk2025-12-14 11:02 1.7K 
[   ]fluent-bit-openrc-4.2.0-r0.apk2025-12-04 22:48 1.7K 
[   ]remco-openrc-0.12.5-r5.apk2025-12-04 22:48 1.7K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 19:01 1.7K 
[   ]materia-dark-compact-20210322-r3.apk2025-07-10 17:09 1.7K 
[   ]nautilus-python-dev-4.0.1-r0.apk2025-10-12 21:54 1.7K 
[   ]lutgen-zsh-completion-1.0.1-r0.apk2025-11-20 10:14 1.7K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 22:04 1.7K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 19:02 1.7K 
[   ]i3bar-river-openrc-1.1.0-r1.apk2025-10-29 22:51 1.7K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 19:02 1.7K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 19:03 1.7K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 19:02 1.7K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 19:02 1.7K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 19:02 1.7K 
[   ]zrepl-openrc-0.6.1-r16.apk2025-12-04 22:49 1.7K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 19:03 1.7K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 08:58 1.7K 
[   ]bazaar-systemd-0.5.8-r0.apk2025-11-02 09:54 1.7K 
[   ]ipp-usb-openrc-0.9.30-r5.apk2025-12-04 22:48 1.7K 
[   ]imapgoose-openrc-0.4.1-r1.apk2025-12-04 22:48 1.7K 
[   ]speedtest-go-openrc-1.1.5-r20.apk2025-12-04 22:48 1.7K 
[   ]taskwarrior-tui-fish-completion-0.26.3-r0.apk2025-03-26 10:24 1.7K 
[   ]gnome-user-share-systemd-48.2-r0.apk2025-11-23 10:48 1.7K 
[   ]lutgen-bash-completion-1.0.1-r0.apk2025-11-20 10:14 1.7K 
[   ]arc-20221218-r0.apk2024-10-25 18:59 1.7K 
[   ]rygel-systemd-45.0-r1.apk2025-11-18 18:30 1.7K 
[   ]tuptime-openrc-5.2.4-r2.apk2025-09-06 16:20 1.8K 
[   ]arc-dark-20221218-r0.apk2024-10-25 18:59 1.8K 
[   ]pam-pkcs11-systemd-0.6.13-r1.apk2025-11-17 13:11 1.8K 
[   ]hyprsunset-openrc-0.3.3-r1.apk2025-12-14 11:02 1.8K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 19:03 1.8K 
[   ]bionic_translation-dev-0_git20251008-r0.apk2025-10-09 07:23 1.8K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 19:02 1.8K 
[   ]arc-lighter-20221218-r0.apk2024-10-25 18:59 1.8K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 19:02 1.8K 
[   ]thermald-openrc-2.15.10-r0.apk2025-10-23 08:12 1.8K 
[   ]arc-darker-20221218-r0.apk2024-10-25 18:59 1.8K 
[   ]phosh-tour-systemd-0.50.0-r2.apk2025-12-15 13:14 1.8K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 19:02 1.8K 
[   ]kopia-bash-completion-0.21.1-r4.apk2025-12-04 22:48 1.8K 
[   ]xdg-native-messaging-proxy-systemd-0.1.0-r0.apk2025-11-27 14:22 1.8K 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 19:02 1.8K 
[   ]syncwhen-systemd-0.3-r0.apk2025-11-01 17:26 1.8K 
[   ]imapgoose-systemd-0.4.1-r1.apk2025-12-04 22:48 1.8K 
[   ]usb-moded-notify-systemd-0.2.1-r0.apk2025-12-21 23:47 1.8K 
[   ]kpatch-systemd-0.9.11-r1.apk2025-11-17 13:11 1.8K 
[   ]geodns-openrc-3.3.0-r18.apk2025-12-04 22:48 1.8K 
[   ]lomiri-indicator-location-systemd-25.4.22-r1.apk2025-11-17 13:11 1.8K 
[   ]rkdeveloptool-udev-1.1.0-r2.apk2025-11-26 20:55 1.8K 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 04:04 1.8K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 19:02 1.8K 
[   ]kopia-zsh-completion-0.21.1-r4.apk2025-12-04 22:48 1.8K 
[   ]lutgen-fish-completion-1.0.1-r0.apk2025-11-20 10:14 1.8K 
[   ]docker-volume-local-persist-openrc-1.3.0-r38.apk2025-12-04 22:48 1.8K 
[   ]mailctl-bash-completion-0.9.2-r0.apk2024-10-25 19:01 1.8K 
[   ]paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk2025-05-28 14:24 1.8K 
[   ]cloudflared-openrc-2024.12.1-r11.apk2025-12-04 22:48 1.8K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 19:02 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 19:02 1.8K 
[   ]ghq-bash-completion-1.8.0-r6.apk2025-12-04 22:48 1.8K 
[   ]mediascanner2-systemd-0.118-r4.apk2025-11-17 13:11 1.8K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 19:02 1.8K 
[   ]cliphist-fzf-0.7.0-r1.apk2025-12-04 22:48 1.8K 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-25 19:02 1.8K 
[   ]crowdsec-openrc-1.7.4-r0.apk2025-12-05 02:57 1.8K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-05-26 09:05 1.8K 
[   ]avahi2dns-openrc-0.1.0-r6.apk2025-12-04 22:48 1.8K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 19:03 1.8K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 19:02 1.8K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 19:03 1.8K 
[   ]airsonic-advanced-openrc-11.0.0_git20230217-r0.apk2024-10-25 18:59 1.8K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 19:02 1.8K 
[   ]onevpl-intel-gpu-dev-25.3.4-r0.apk2025-11-02 20:59 1.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 19:02 1.8K 
[   ]gearmand-openrc-1.1.22-r0.apk2025-09-06 16:20 1.8K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 19:02 1.8K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 19:03 1.8K 
[   ]spacectl-zsh-completion-1.12.0-r6.apk2025-12-04 22:48 1.8K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 19:02 1.8K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 19:00 1.8K 
[   ]tremc-zsh-completion-0.9.4-r0.apk2025-07-16 04:23 1.8K 
[   ]vertigo-doc-0.3.4-r1.apk2025-12-04 22:49 1.8K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 19:01 1.8K 
[   ]taskcafe-openrc-0.3.6-r18.apk2025-12-04 22:48 1.8K 
[   ]filebrowser-openrc-2.27.0-r16.apk2025-12-04 22:48 1.8K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2024-10-25 19:01 1.8K 
[   ]synapse-bt-openrc-1.0-r4.apk2024-10-25 19:03 1.8K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 19:01 1.8K 
[   ]neocmakelsp-zsh-completion-0.9.0-r0.apk2025-11-22 13:30 1.8K 
[   ]nfcd-systemd-1.2.3-r1.apk2025-11-15 11:08 1.8K 
[   ]aprilsh-openrc-0.7.12-r10.apk2025-12-04 22:48 1.8K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 19:02 1.8K 
[   ]reaction-openrc-2.2.1-r0.apk2025-09-23 22:32 1.8K 
[   ]jackal-openrc-0.64.0-r20.apk2025-12-04 22:48 1.8K 
[   ]microsocks-openrc-1.0.5-r0.apk2025-12-21 23:53 1.8K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 19:02 1.8K 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 10:22 1.8K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 19:02 1.8K 
[   ]py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk2025-11-11 19:23 1.8K 
[   ]ckb-next-daemon-openrc-0.6.2-r1.apk2025-09-06 16:20 1.8K 
[   ]roll-bash-completion-2.6.1-r1.apk2025-10-13 16:13 1.8K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 19:02 1.8K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 18:05 1.8K 
[   ]3proxy-systemd-0.9.5-r1.apk2025-11-17 13:11 1.8K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:00 1.8K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 19:02 1.8K 
[   ]yaru-schemas-25.10.1-r0.apk2025-07-13 20:17 1.8K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 19:02 1.9K 
[   ]speedtest_exporter-openrc-0.3.2-r20.apk2025-12-04 22:48 1.9K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 18:59 1.9K 
[   ]paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk2025-05-28 14:24 1.9K 
[   ]tempo-openrc-2.9.0-r1.apk2025-12-04 22:48 1.9K 
[   ]paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk2025-05-28 14:24 1.9K 
[   ]mtg-openrc-2.1.7-r26.apk2025-12-04 22:48 1.9K 
[   ]librespot-openrc-0.8.0-r0.apk2025-11-20 10:14 1.9K 
[   ]river-bedload-zsh-completion-0.1.1_git20250823-r0.apk2025-09-22 01:17 1.9K 
[   ]mailctl-fish-completion-0.9.2-r0.apk2024-10-25 19:01 1.9K 
[   ]ssh-studio-lang-1.3.1-r0.apk2025-10-12 20:35 1.9K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 19:02 1.9K 
[   ]pgcat-openrc-1.2.0-r1.apk2025-01-01 17:38 1.9K 
[   ]i3bar-river-systemd-1.1.0-r1.apk2025-10-29 22:51 1.9K 
[   ]eiwd-openrc-3.10-r0.apk2025-10-07 11:53 1.9K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 08:45 1.9K 
[   ]pict-rs-openrc-0.5.19-r1.apk2025-10-14 14:16 1.9K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 19:01 1.9K 
[   ]tpm2-pkcs11-dev-1.9.2-r0.apk2025-12-13 16:07 1.9K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 19:02 1.9K 
[   ]lemmy-openrc-0.19.12-r1.apk2025-10-14 14:16 1.9K 
[   ]tang-openrc-15-r0.apk2025-01-20 04:17 1.9K 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r5.apk2025-12-04 22:48 1.9K 
[   ]biboumi-openrc-9.0-r9.apk2025-10-06 11:28 1.9K 
[   ]flowd-openrc-0.9.1-r11.apk2025-06-30 08:08 1.9K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 19:01 1.9K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 19:02 1.9K 
[   ]prometheus-ceph-exporter-openrc-4.2.5-r9.apk2025-12-04 22:48 1.9KCeph
[   ]satellite-openrc-1.0.0-r33.apk2025-12-04 22:48 1.9K 
[   ]tremc-bash-completion-0.9.4-r0.apk2025-07-16 04:23 1.9K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 19:01 1.9K 
[   ]sish-openrc-2.16.1-r10.apk2025-12-04 22:48 1.9K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-10 03:12 1.9K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:07 1.9K 
[   ]cloudflared-doc-2024.12.1-r11.apk2025-12-04 22:48 1.9K 
[   ]seaweedfs-openrc-3.93-r4.apk2025-12-04 22:48 1.9K 
[   ]openfire-openrc-4.8.1-r1.apk2024-12-03 15:45 1.9K 
[   ]conntracct-openrc-0.2.7-r36.apk2025-12-04 22:48 1.9K 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 19:00 1.9K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 19:01 1.9K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 18:59 1.9K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 18:59 1.9K 
[   ]ntpd-rs-openrc-1.6.2-r2.apk2025-12-18 19:45 1.9K 
[   ]please-build-bash-completion-17.22.0-r1.apk2025-12-04 22:48 1.9K 
[   ]please-build-zsh-completion-17.22.0-r1.apk2025-12-04 22:48 1.9K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk2025-06-30 08:08 1.9K 
[   ]thanos-openrc-0.31.0-r18.apk2025-12-04 22:48 1.9K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 19:03 2.0K 
[   ]zrepl-zsh-completion-0.6.1-r16.apk2025-12-04 22:49 2.0K 
[   ]apt-swarm-openrc-0.5.1-r1.apk2025-10-12 13:42 2.0K 
[   ]hardinfo2-openrc-2.2.13-r0.apk2025-09-28 12:01 2.0K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 19:03 2.0K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 19:01 2.0K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]mailctl-zsh-completion-0.9.2-r0.apk2024-10-25 19:01 2.0K 
[   ]mautrix-zulip-openrc-0.2511.0-r1.apk2025-12-04 22:48 2.0K 
[   ]chasquid-openrc-1.17.0-r0.apk2025-12-19 15:56 2.0K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 18:59 2.0K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-12 13:59 2.0K 
[   ]agate-openrc-3.3.19-r0.apk2025-09-23 22:32 2.0K 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-14 00:10 2.0K 
[   ]upterm-server-openrc-0.17.0-r1.apk2025-12-04 22:49 2.0K 
[   ]flannel-openrc-0.27.4-r1.apk2025-12-04 22:48 2.0K 
[   ]mautrix-linkedin-openrc-0.2512.0-r0.apk2025-12-16 18:25 2.0K 
[   ]exercism-bash-completion-3.2.0-r18.apk2025-12-04 22:48 2.0K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 19:02 2.0K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-13 21:31 2.0K 
[   ]tempo-vulture-openrc-2.9.0-r1.apk2025-12-04 22:48 2.0K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 19:01 2.0K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 08:45 2.0K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 19:02 2.0K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 19:04 2.0K 
[   ]downloader-cli-0.3.4-r2.apk2025-05-14 18:16 2.0K 
[   ]stayrtr-openrc-0.6.3-r1.apk2025-12-04 22:48 2.0K 
[   ]mtail-openrc-3.2.26-r1.apk2025-12-04 22:48 2.0K 
[   ]prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk2025-12-04 22:48 2.0K 
[   ]gmcapsule-openrc-0.9.8-r0.apk2025-10-07 09:39 2.0K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 19:02 2.0K 
[   ]grafana-image-renderer-openrc-4.0.17-r0.apk2025-10-10 17:54 2.0K 
[   ]taskwarrior-tui-bash-completion-0.26.3-r0.apk2025-03-26 10:24 2.0K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 12:00 2.0K 
[   ]neocmakelsp-bash-completion-0.9.0-r0.apk2025-11-22 13:30 2.0K 
[   ]alertmanager-irc-relay-openrc-0.5.1-r1.apk2025-09-06 16:20 2.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 19:02 2.0K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 19:01 2.0K 
[   ]msh-openrc-2.5.0-r17.apk2025-12-04 22:48 2.0K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 08:45 2.0K 
[   ]tofutf-agent-openrc-0.10.0-r10.apk2025-12-04 22:48 2.0K 
[   ]otf-agent-openrc-0.4.9-r0.apk2025-12-04 22:48 2.0K 
[   ]otf-server-openrc-0.4.9-r0.apk2025-12-04 22:48 2.0K 
[   ]vimv-doc-3.1.0-r0.apk2025-10-12 21:21 2.0K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]tofutf-server-openrc-0.10.0-r10.apk2025-12-04 22:49 2.0K 
[   ]zot-openrc-2.1.8-r2.apk2025-12-04 22:49 2.0K 
[   ]gotify-openrc-2.7.2-r2.apk2025-12-04 22:48 2.0K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 19:02 2.0K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-02 03:03 2.0K 
[   ]cpu-x-bash-completion-5.2.0-r1.apk2025-05-06 10:05 2.0K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]alps-openrc-0_git20230807-r17.apk2025-12-04 22:48 2.0K 
[   ]dnote-zsh-completion-0.16.0-r0.apk2025-12-10 18:29 2.0K 
[   ]odyssey-openrc-1.3-r3.apk2024-10-25 19:02 2.0K 
[   ]lomiri-docviewer-app-doc-3.1.2-r0.apk2025-08-19 20:09 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 19:02 2.0K 
[   ]tealdeer-bash-completion-1.8.0-r0.apk2025-10-28 01:54 2.0K 
[   ]prowlarr-openrc-2.3.0.5236-r0.apk2025-12-13 17:16 2.0K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 19:02 2.0K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 19:03 2.0K 
[   ]clevis-bash-completion-21-r0.apk2025-01-20 04:17 2.0K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 18:59 2.0K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 19:02 2.0K 
[   ]cortex-tenant-openrc-1.15.7-r2.apk2025-12-04 22:48 2.0K 
[   ]radarr-openrc-6.0.4.10291-r0.apk2025-11-17 14:44 2.0K 
[   ]utop-common-2.9.1-r4.apk2024-10-25 19:03 2.0K 
[   ]lidarr-openrc-3.1.0.4875-r0.apk2025-12-13 17:30 2.0K 
[   ]sonarr-openrc-4.0.16.2944-r0.apk2025-11-17 14:44 2.0K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 19:01 2.0K 
[   ]autoscan-openrc-1.4.0-r16.apk2025-12-04 22:48 2.0K 
[   ]spacectl-bash-completion-1.12.0-r6.apk2025-12-04 22:48 2.1K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 19:01 2.1K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 19:03 2.1K 
[   ]lomiri-indicator-network-doc-1.1.2-r0.apk2025-09-08 01:00 2.1K 
[   ]kanidm-openrc-1.8.5-r0.apk2025-12-13 18:49 2.1K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 20:49 2.1K 
[   ]sc-controller-udev-0.5.5-r0.apk2025-12-14 08:56 2.1K 
[   ]apmpkg-fish-completion-1.5.1-r3.apk2024-10-25 18:59 2.1K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 19:03 2.1K 
[   ]stalwart-mail-openrc-0.15.2-r0.apk2025-12-23 01:24 2.1K 
[   ]nzbget-openrc-25.4-r0.apk2025-11-17 17:13 2.1K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 18:55 2.1K 
[   ]plakar-doc-1.0.6-r1.apk2025-12-04 22:48 2.1K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 18:59 2.1K 
[   ]fuzzylite-doc-6.0-r2.apk2025-01-31 21:53 2.1K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 19:02 2.1K 
[   ]dnote-bash-completion-0.16.0-r0.apk2025-12-10 18:29 2.1K 
[   ]semaphoreui-openrc-2.16.37-r1.apk2025-12-04 22:48 2.1K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 19:04 2.1K 
[   ]prometheus-opnsense-exporter-openrc-0.0.11-r2.apk2025-12-04 22:48 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 19:01 2.1K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 19:01 2.1K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 19:03 2.1K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 19:00 2.1K 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 17:40 2.1K 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 19:02 2.1K 
[   ]oauth2-proxy-openrc-7.11.0-r4.apk2025-12-04 22:48 2.1K 
[   ]exercism-zsh-completion-3.2.0-r18.apk2025-12-04 22:48 2.1K 
[   ]tailspin-fish-completion-5.5.0-r0.apk2025-10-28 06:57 2.1K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-14 18:16 2.1K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-14 18:16 2.1K 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:07 2.1K 
[   ]haproxy-dataplaneapi2-openrc-2.9.18-r2.apk2025-12-04 22:48 2.1K 
[   ]cpu-x-zsh-completion-5.2.0-r1.apk2025-05-06 10:05 2.1K 
[   ]lemmy-ui-openrc-0.19.12-r0.apk2025-06-23 04:46 2.1K 
[   ]kuma-dp-openrc-2.10.1-r6.apk2025-12-04 22:48 2.1K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 19:02 2.1K 
[   ]lomiri-location-service-systemd-3.3.0-r5.apk2025-11-17 13:11 2.1K 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 19:01 2.1K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 19:02 2.1K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 19:02 2.1K 
[   ]kuma-cp-openrc-2.10.1-r6.apk2025-12-04 22:48 2.1K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 19:04 2.2K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 21:48 2.2K 
[   ]hare-madeline-doc-0.1_git20240505-r1.apk2024-11-29 00:08 2.2K 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 18:59 2.2K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 19:00 2.2K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 19:01 2.2K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:44 2.2K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 19:02 2.2K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 19:02 2.2K 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 18:05 2.2K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 19:01 2.2K 
[   ]flare-game-1.14-r0.apk2024-10-25 19:01 2.2K 
[   ]piler-openrc-1.4.7-r0.apk2025-01-16 10:17 2.2K 
[   ]vector-openrc-0.50.0-r0.apk2025-10-05 19:20 2.2K 
[   ]vscodium-bash-completion-1.106.37943-r0.apk2025-12-21 19:11 2.2K 
[   ]apmpkg-bash-completion-1.5.1-r3.apk2024-10-25 18:59 2.2K 
[   ]dstask-bash-completion-0.27-r4.apk2025-12-04 22:48 2.2K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 19:26 2.2K 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 17:12 2.2K 
[   ]adguardhome-openrc-0.107.71-r0.apk2025-12-13 16:22 2.2K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 19:02 2.2K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 19:01 2.2K 
[   ]gnome-mahjongg-doc-49.0.1-r0.apk2025-10-18 21:03 2.2K 
[   ]whatsie-doc-4.16.3-r0.apk2025-01-12 13:59 2.2K 
[   ]libsirocco-dev-2.1.1-r0.apk2025-08-31 17:36 2.2K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 19:03 2.2K 
[   ]code-oss-bash-completion-1.105.1-r1.apk2025-11-10 21:45 2.2K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 19:02 2.2K 
[   ]cpu-x-fish-completion-5.2.0-r1.apk2025-05-06 10:05 2.2K 
[   ]cargo-leptos-doc-0.3.2-r0.apk2025-12-22 02:54 2.2K 
[   ]zmx-doc-0.1.1-r0.apk2025-12-17 18:15 2.2K 
[   ]bootchart2-systemd-0.14.9-r1.apk2025-11-17 13:11 2.2K 
[   ]motion-openrc-4.7.1-r0.apk2025-09-27 21:15 2.2K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 19:04 2.2K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 19:02 2.2K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:07 2.2K 
[   ]rot8-doc-1.0.0-r0.apk2025-07-28 22:12 2.2K 
[   ]ruby-minitest-power_assert-0.3.1-r0.apk2025-07-06 19:16 2.2K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 18:59 2.2K 
[   ]mml-fish-completion-1.0.0-r1.apk2025-09-30 00:40 2.2K 
[   ]svls-doc-0.2.14-r0.apk2025-12-13 02:58 2.2K 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 15:50 2.2K 
[   ]koreader-doc-2025.10-r0.apk2025-12-13 16:21 2.2K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 19:02 2.2K 
[   ]duckdb-doc-1.4.0-r0.apk2025-10-04 11:04 2.2K 
[   ]walk-doc-1.13.0-r8.apk2025-12-04 22:49 2.2K 
[   ]jaq-doc-2.3.0-r0.apk2025-07-20 10:54 2.2K 
[   ]chess-tui-doc-2.0.0-r0.apk2025-12-13 01:10 2.2K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 19:02 2.2K 
[   ]ruri-doc-3.9.3-r0.apk2025-10-27 08:46 2.2K 
[   ]oha-doc-1.12.1-r0.apk2025-12-20 00:03 2.2K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 19:01 2.2K 
[   ]tailspin-bash-completion-5.5.0-r0.apk2025-10-28 06:57 2.2K 
[   ]pikchr-cmd-doc-1.0.0-r0.apk2025-10-25 10:43 2.2K 
[   ]sentinel-proxy-openrc-2.1.0-r1.apk2025-06-13 14:12 2.2K 
[   ]net-predictable-doc-1.5.1-r5.apk2025-12-04 22:48 2.2K 
[   ]sshm-doc-1.9.0-r1.apk2025-12-04 22:48 2.2K 
[   ]asdf-doc-0.18.0-r5.apk2025-12-04 22:48 2.2K 
[   ]edit-doc-1.2.1-r0.apk2025-10-16 13:17 2.2K 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-25 19:03 2.2K 
[   ]lefthook-doc-2.0.4-r1.apk2025-12-04 22:48 2.2K 
[   ]ruby-hashdiff-doc-1.2.1-r0.apk2025-10-21 04:18 2.2K 
[   ]helm-ls-doc-0.5.4-r1.apk2025-12-04 22:48 2.2K 
[   ]ruby-crack-doc-1.0.1-r0.apk2025-10-21 04:14 2.2K 
[   ]webhookd-openrc-1.20.2-r5.apk2025-12-04 22:49 2.2K 
[   ]exabgp-openrc-4.2.24-r1.apk2025-09-06 16:20 2.3K 
[   ]yamldiff-doc-0.3.0-r3.apk2025-12-04 22:49 2.3K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 19:02 2.3K 
[   ]catppuccin-whiskers-doc-2.5.1-r0.apk2025-11-29 18:59 2.3K 
[   ]tmpl-doc-0.4.0-r16.apk2025-12-04 22:48 2.3K 
[   ]mods-doc-1.8.1-r3.apk2025-12-04 22:48 2.3K 
[   ]helmfile-doc-1.1.8-r1.apk2025-12-04 22:48 2.3K 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 15:38 2.3K 
[   ]packwiz-doc-0_git20251102-r1.apk2025-12-04 22:48 2.3K 
[   ]mml-bash-completion-1.0.0-r1.apk2025-09-30 00:40 2.3K 
[   ]katana-doc-1.2.2-r3.apk2025-12-04 22:48 2.3K 
[   ]remco-doc-0.12.5-r5.apk2025-12-04 22:48 2.3K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 19:02 2.3K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 19:03 2.3K 
[   ]imgdiff-doc-1.0.2-r31.apk2025-12-04 22:48 2.3K 
[   ]ruby-dry-inflector-doc-1.2.0-r0.apk2025-10-21 04:16 2.3K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 19:03 2.3K 
[   ]webhookd-doc-1.20.2-r5.apk2025-12-04 22:49 2.3K 
[   ]goshs-doc-1.1.3-r0.apk2025-12-18 21:15 2.3K 
[   ]dbmate-doc-2.28.0-r1.apk2025-12-04 22:48 2.3K 
[   ]go-away-openrc-0.7.0-r1.apk2025-09-06 16:20 2.3K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 18:59 2.3K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-25 23:54 2.3K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 00:00 2.3K 
[   ]nwg-menu-doc-0.1.9-r4.apk2025-12-04 22:48 2.3K 
[   ]tfupdate-doc-0.8.2-r11.apk2025-12-04 22:48 2.3K 
[   ]gx-go-doc-1.9.0-r37.apk2025-12-04 22:48 2.3K 
[   ]recyclarr-doc-7.5.2-r0.apk2025-12-13 17:37 2.3K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 19:03 2.3K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 07:16 2.3K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-13 21:31 2.3K 
[   ]ssh-get-id-doc-0.2.0-r0.apk2025-12-15 03:11 2.3K 
[   ]inlyne-doc-0.5.0-r0.apk2025-12-22 02:54 2.3K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-14 18:16 2.3K 
[   ]gx-doc-0.14.3-r35.apk2025-12-04 22:48 2.3K 
[   ]tealdeer-fish-completion-1.8.0-r0.apk2025-10-28 01:54 2.3K 
[   ]copyq-bash-completion-13.0.0-r1.apk2025-12-04 22:48 2.3K 
[   ]nuclei-doc-3.6.1-r0.apk2025-12-18 21:23 2.3K 
[   ]scenefx-doc-0.4.1-r0.apk2025-12-20 23:13 2.3K 
[   ]py-spy-doc-0.3.14-r3.apk2024-10-25 19:02 2.3K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 18:59 2.3K 
[   ]httpx-doc-1.7.4-r0.apk2025-12-09 23:37 2.3K 
[   ]naabu-doc-2.3.7-r1.apk2025-12-04 22:48 2.3K 
[   ]ghostty-doc-1.2.3_git20251118-r1.apk2025-12-14 22:09 2.3K 
[   ]yaml-language-server-doc-1.19.2-r0.apk2025-10-09 07:23 2.3K 
[   ]maildir-rank-addr-doc-1.4.1-r1.apk2025-12-04 22:48 2.3K 
[   ]rio-doc-0.2.35-r0.apk2025-11-14 21:57 2.3K 
[   ]dnscontrol-doc-4.29.0-r0.apk2025-12-18 21:13 2.3K 
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 19:03 2.3KUbuntu Linux
[   ]empede-doc-0.2.3-r0.apk2024-10-25 19:00 2.3K 
[   ]ergo-ldap-doc-0.0.1-r22.apk2025-12-04 22:48 2.3K 
[   ]eludris-doc-0.3.3-r1.apk2024-10-25 19:00 2.3K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-25 23:56 2.3K 
[   ]spacectl-doc-1.12.0-r6.apk2025-12-04 22:48 2.3K 
[   ]py3-simple-websocket-doc-1.1.0-r0.apk2025-09-06 16:20 2.3K 
[   ]dam-doc-0_git20250728-r0.apk2025-12-22 21:22 2.3K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 19:03 2.3K 
[   ]php81-pecl-imagick-dev-3.8.1-r0.apk2025-11-28 00:06 2.3K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 19:02 2.3K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 19:03 2.3K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 19:00 2.3K 
[   ]php82-pecl-imagick-dev-3.8.1-r0.apk2025-11-28 00:06 2.3K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 19:01 2.3K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 19:02 2.3K 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 19:03 2.3K 
[   ]budgie-control-center-bash-completion-1.4.0-r0.apk2025-10-16 17:07 2.3K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 18:59 2.3K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 18:59 2.3K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 19:02 2.3K 
[   ]river-bedload-doc-0.1.1_git20250823-r0.apk2025-09-22 01:17 2.3K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 19:00 2.3K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-25 23:52 2.3K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 19:01 2.3K 
[   ]hurl-bash-completion-7.1.0-r0.apk2025-12-05 18:41 2.3K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 19:03 2.3K 
[   ]semaphoreui-doc-2.16.37-r1.apk2025-12-04 22:48 2.3K 
[   ]ruby-crack-1.0.1-r0.apk2025-10-21 04:14 2.3K 
[   ]aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk2025-12-04 22:48 2.3K 
[   ]libtins-doc-4.5-r2.apk2025-10-15 08:21 2.3K 
[   ]maxima-bash-completion-5.48.1-r9.apk2025-08-22 10:16 2.3K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 19:01 2.3K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 19:02 2.3K 
[   ]perl-dns-unbound-anyevent-0.29-r2.apk2025-06-30 08:08 2.3K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-21 16:21 2.3K 
[   ]lutris-doc-0.5.19-r1.apk2025-09-16 18:09 2.3K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:44 2.3K 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 19:02 2.3K 
[   ]raku-distribution-builder-makefromjson-doc-0.6-r1.apk2025-08-29 08:22 2.3K 
[   ]py-spy-bash-completion-0.3.14-r3.apk2024-10-25 19:02 2.4K 
[   ]calibre-pyc-8.15.0-r0.apk2025-12-01 01:32 2.4K 
[   ]victoria-logs-openrc-1.41.1-r0.apk2025-12-19 16:28 2.4K 
[   ]exercism-fish-completion-3.2.0-r18.apk2025-12-04 22:48 2.4K 
[   ]himitsu-totp-doc-0.9-r0.apk2025-09-06 16:20 2.4K 
[   ]inlyne-bash-completion-0.5.0-r0.apk2025-12-22 02:54 2.4K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 19:01 2.4K 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-14 00:10 2.4K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 19:02 2.4K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 18:59 2.4K 
[   ]qmk-cli-udev-1.2.0-r0.apk2025-11-29 12:10 2.4K 
[   ]tealdeer-zsh-completion-1.8.0-r0.apk2025-10-28 01:54 2.4K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 18:59 2.4K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 18:59 2.4K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 19:02 2.4K 
[   ]hypridle-doc-0.1.7-r1.apk2025-12-14 11:02 2.4K 
[   ]ovn-openrc-25.09.2-r0.apk2025-12-14 22:31 2.4K 
[   ]openwsman-doc-2.8.1-r1.apk2025-06-30 08:08 2.4K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 19:02 2.4K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 19:02 2.4K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 19:01 2.4K 
[   ]apx-doc-2.4.5-r5.apk2025-12-04 22:48 2.4K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 19:02 2.4K 
[   ]wl-screenrec-bash-completion-0.1.7-r1.apk2025-08-27 16:40 2.4K 
[   ]perl-dns-unbound-ioasync-0.29-r2.apk2025-06-30 08:08 2.4K 
[   ]gliderlabs-sigil-doc-0.11.0-r10.apk2025-12-04 22:48 2.4K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 19:03 2.4K 
[   ]lemmy-localdb-0.19.12-r1.apk2025-10-14 14:16 2.4K 
[   ]rocm-cmake-doc-6.4.3-r0.apk2025-08-18 11:32 2.4K 
[   ]hyprsunset-doc-0.3.3-r1.apk2025-12-14 11:02 2.4K 
[   ]rocm-core-doc-6.4.3-r0.apk2025-08-18 11:32 2.4K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk2025-12-14 11:02 2.4K 
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2024-10-25 18:59 2.4K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:44 2.5K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 19:02 2.5K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 19:01 2.5K 
[   ]tailspin-zsh-completion-5.5.0-r0.apk2025-10-28 06:57 2.5K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 19:01 2.5K 
[   ]flare-engine-doc-1.14-r1.apk2025-11-07 17:28 2.5K 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 19:03 2.5K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 19:02 2.5K 
[   ]beard-doc-0.4-r0.apk2024-10-25 18:59 2.5K 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 19:01 2.5K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 19:02 2.5K 
[   ]ghq-zsh-completion-1.8.0-r6.apk2025-12-04 22:48 2.5K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 18:59 2.5K 
[   ]ldc-runtime-cross-1.41.0-r0.apk2025-06-07 16:13 2.5K 
[   ]inlyne-fish-completion-0.5.0-r0.apk2025-12-22 02:54 2.5K 
[   ]freshrss-openrc-1.27.1-r0.apk2025-11-03 08:30 2.5K 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 07:37 2.5K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 19:04 2.5K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 14:02 2.5K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 19:04 2.5K 
[   ]raku-system-query-doc-0.1.6-r1.apk2025-08-29 08:22 2.5K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-25 19:03 2.5K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 19:02 2.5K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 08:45 2.5K 
[   ]llvm-next-libgcc-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 2.5K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 19:02 2.5K 
[   ]pully-1.0.0-r0.apk2024-10-25 19:02 2.5K 
[   ]libcotp-dev-3.1.1-r0.apk2025-10-04 11:04 2.5K 
[   ]gradia-dev-1.9.0-r0.apk2025-09-06 16:20 2.5K 
[   ]flann-doc-1.9.2-r1.apk2025-02-22 14:38 2.5K 
[   ]stw-doc-0.3-r0.apk2024-10-25 19:03 2.5K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 19:02 2.5K 
[   ]xendmail-doc-0.4.4-r0.apk2025-08-06 08:51 2.5K 
[   ]ghq-fish-completion-1.8.0-r6.apk2025-12-04 22:48 2.6K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 19:04 2.6K 
[   ]ty-bash-completion-0.0.4-r0.apk2025-12-21 18:50 2.6K 
[   ]hyfetch-zsh-completion-2.0.5-r0.apk2025-11-21 17:34 2.6K 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-01 18:06 2.6K 
[   ]fuseiso-doc-20070708-r0.apk2025-04-14 01:40 2.6K 
[   ]ol-doc-2.6-r0.apk2025-05-01 06:30 2.6K 
[   ]perl-class-unload-0.11-r0.apk2025-06-04 23:00 2.6K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 19:03 2.6K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 19:02 2.6K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 19:02 2.6K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 19:03 2.6K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 19:02 2.6K 
[   ]xcompmgr-doc-1.1.10-r0.apk2025-06-28 11:25 2.6K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-21 16:21 2.6K 
[   ]py3-igraph-dev-1.0.0-r0.apk2025-10-28 01:54 2.6K 
[   ]faust-vim-2.79.3-r0.apk2025-06-07 17:01 2.6K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-16 17:58 2.6K 
[   ]py3-unidns-examples-0.0.4-r0.apk2025-11-03 08:48 2.6K 
[   ]scratch-doc-1.4.0.7-r2.apk2025-10-09 12:30 2.6K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 19:02 2.6K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 19:03 2.6K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 19:01 2.6K 
[   ]keydb-openrc-6.3.4-r0.apk2024-10-25 19:01 2.6K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 19:02 2.6K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 19:04 2.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 19:02 2.6K 
[   ]linux-gpib-udev-4.3.7-r0.apk2025-12-06 23:09 2.6K 
[   ]py-spy-fish-completion-0.3.14-r3.apk2024-10-25 19:02 2.6K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 19:02 2.6K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 19:02 2.6K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 19:02 2.6K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 19:03 2.6K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 19:02 2.6K 
[   ]perl-dns-unbound-mojo-0.29-r2.apk2025-06-30 08:08 2.7K 
[   ]vscodium-zsh-completion-1.106.37943-r0.apk2025-12-21 19:11 2.7K 
[   ]mame-common-0.251-r0.apk2024-10-25 19:02 2.7K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 07:49 2.7K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 19:04 2.7K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 19:02 2.7K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-10 03:12 2.7K 
[   ]reap-doc-0.2-r0.apk2025-09-13 16:23 2.7K 
[   ]code-oss-zsh-completion-1.105.1-r1.apk2025-11-10 21:45 2.7K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 17:12 2.7K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 18:59 2.7K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 06:07 2.7K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 18:59 2.7K 
[   ]kmscon-systemd-9.1.0-r1.apk2025-10-30 09:26 2.7K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 19:01 2.7K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 19:02 2.7K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 19:01 2.7K 
[   ]quodlibet-zsh-completion-4.7.1-r0.apk2025-09-16 18:53 2.7K 
[   ]inlyne-zsh-completion-0.5.0-r0.apk2025-12-22 02:54 2.7K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 19:02 2.7K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 19:02 2.7K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 10:55 2.7K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 19:02 2.7K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 19:01 2.7K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 19:02 2.7K 
[   ]pomo-doc-0.8.1-r28.apk2025-12-04 22:48 2.7K 
[   ]pounce-openrc-3.1-r4.apk2025-09-12 22:22 2.7K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 19:02 2.7K 
[   ]rsstail-doc-2.2-r0.apk2025-02-22 14:39 2.8K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 19:02 2.8K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 18:59 2.8K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 19:03 2.8K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 19:01 2.8K 
[   ]mint-x-theme-2.3.2-r0.apk2025-11-14 21:57 2.8K 
[   ]alacritty-graphics-bash-completion-0.16.1-r0.apk2025-12-18 00:02 2.8K 
[   ]perl-data-binary-0.01-r0.apk2025-07-10 05:38 2.8K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 19:02 2.8K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 15:08 2.8K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 18:59 2.8K 
[   ]mml-zsh-completion-1.0.0-r1.apk2025-09-30 00:40 2.8K 
[   ]fnm-bash-completion-1.38.1-r0.apk2024-11-25 14:28 2.8K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 19:01 2.8K 
[   ]py3-webrtcvad-pyc-2.0.10-r1.apk2024-10-25 19:02 2.8K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 19:02 2.8K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-01 06:46 2.8K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 19:02 2.8K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-24 22:10 2.8K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 19:02 2.8K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-21 16:21 2.8K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 19:02 2.8K 
[   ]chim-doc-1.1.2-r1.apk2024-10-25 18:59 2.8K 
[   ]libabigail-bash-completion-2.8-r0.apk2025-11-02 19:38 2.8K 
[   ]tremc-doc-0.9.4-r0.apk2025-07-16 04:23 2.8K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 19:02 2.8K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 19:02 2.8K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 19:02 2.8K 
[   ]reredirect-doc-0.3-r0.apk2024-10-25 19:03 2.8K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 19:02 2.8K 
[   ]cproc-doc-0_git20240427-r1.apk2024-11-03 21:51 2.8K 
[   ]logtop-doc-0.7-r1.apk2025-08-09 16:28 2.8K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 19:02 2.8K 
[   ]hunspell-fr-doc-7.7-r0.apk2025-12-17 20:11 2.9K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-02 03:03 2.9K 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 18:59 2.9K 
[   ]materia-gtk-theme-20210322-r3.apk2025-07-10 17:09 2.9K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 19:00 2.9K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 19:04 2.9K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 20:44 2.9K 
[   ]git-extras-bash-completion-7.4.0-r0.apk2025-07-22 22:16 2.9K 
[   ]sacc-doc-1.07-r1.apk2025-09-12 22:22 2.9K 
[   ]subtitleeditor-doc-0.55.0-r0.apk2025-11-20 22:18 2.9K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 18:59 2.9K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 19:02 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 19:02 2.9K 
[   ]lomiri-location-service-doc-3.3.0-r5.apk2025-11-17 13:11 2.9K 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 18:59 2.9K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 19:02 2.9K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 19:02 2.9K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 19:02 2.9K 
[   ]steamguard-cli-bash-completion-0.17.1-r0.apk2025-07-10 22:02 2.9K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 19:02 2.9K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 19:03 2.9K 
[   ]rankwidth-doc-0.9-r4.apk2025-10-28 01:54 2.9K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 19:01 2.9K 
[   ]noggin-doc-0.1-r23.apk2025-12-04 22:48 2.9K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 19:03 2.9K 
[   ]rankwidth-dev-0.9-r4.apk2025-10-28 01:54 2.9K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 19:03 2.9K 
[   ]gummiboot-doc-48.1-r11.apk2025-07-20 21:07 2.9K 
[   ]remake-dev-1.5-r1.apk2024-10-25 19:03 2.9K 
[   ]aggregate6-doc-1.0.14-r0.apk2025-10-14 11:05 2.9K 
[   ]build-next-overlay-22.0.0_pre20251108-r0.apk2025-11-09 09:38 2.9K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 19:04 2.9K 
[   ]apulse-doc-0.1.14-r0.apk2025-09-06 16:20 2.9K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 04:47 2.9K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]rke-doc-1.4.3-r20.apk2025-12-04 22:48 3.0K 
[   ]limkd-doc-0.1.2-r0.apk2024-10-25 19:01 3.0K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 19:02 3.0K 
[   ]lynis-bash-completion-3.1.4-r0.apk2025-07-29 08:08 3.0K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 19:02 3.0K 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 19:01 3.0K 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 16:16 3.0K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 19:02 3.0K 
[   ]isomd5sum-doc-1.2.5-r0.apk2025-07-15 08:02 3.0K 
[   ]perl-regexp-trie-0.02-r0.apk2025-07-12 07:22 3.0K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 19:02 3.0K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]rkdeveloptool-doc-1.1.0-r2.apk2025-11-26 20:55 3.0K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 19:01 3.0K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 18:59 3.0K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 19:02 3.0K 
[   ]hardinfo2-doc-2.2.13-r0.apk2025-09-28 12:01 3.0K 
[   ]tailspin-doc-5.5.0-r0.apk2025-10-28 06:57 3.0K 
[   ]sfizz-doc-1.2.3-r1.apk2025-10-02 15:30 3.0K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 19:01 3.0K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 19:01 3.0K 
[   ]satellite-doc-1.0.0-r33.apk2025-12-04 22:48 3.0K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-29 16:00 3.0K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 16:03 3.0K 
[   ]usb-moded-notify-0.2.1-r0.apk2025-12-21 23:47 3.0K 
[   ]way-secure-doc-0.2.0-r0.apk2025-04-18 22:14 3.0K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 19:01 3.0K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 19:02 3.0K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 02:00 3.0K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 07:37 3.0K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 19:00 3.0K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 19:02 3.0K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 19:01 3.0K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-10 00:53 3.0K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-15 14:08 3.0K 
[   ]apmpkg-doc-1.5.1-r3.apk2024-10-25 18:59 3.0K 
[   ]qgis-doc-3.44.5-r0.apk2025-12-04 22:48 3.0K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 19:02 3.1K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 19:02 3.1K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 19:02 3.1K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 16:03 3.1K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 19:03 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 19:03 3.1K 
[   ]perl-linux-pid-doc-0.04-r15.apk2025-06-30 08:08 3.1K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-04 23:00 3.1K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 19:02 3.1K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 18:59 3.1K 
[   ]xcape-doc-1.2-r1.apk2025-05-14 18:16 3.1K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 04:47 3.1K 
[   ]alacritty-graphics-fish-completion-0.16.1-r0.apk2025-12-18 00:02 3.1K 
[   ]py-spy-zsh-completion-0.3.14-r3.apk2024-10-25 19:02 3.1K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 00:00 3.1K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 19:03 3.1K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 14:02 3.1K 
[   ]sympow-doc-2.023.7-r2.apk2024-10-25 19:03 3.1K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 20:17 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-data-binary-doc-0.01-r0.apk2025-07-10 05:38 3.1K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 19:02 3.1K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 19:01 3.1K 
[   ]rivercarro-doc-0.6.0-r0.apk2025-10-06 21:15 3.1K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 19:02 3.1K 
[   ]rpi-imager-doc-1.9.0-r1.apk2025-07-24 08:08 3.1K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 19:02 3.1K 
[   ]perl-perlio-locale-doc-0.10-r13.apk2025-06-30 08:08 3.1K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 19:02 3.1K 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 19:01 3.1K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 19:03 3.1K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 19:00 3.1K 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 07:37 3.1K 
[   ]update-sysfs-2.1.1_p7-r0.apk2025-11-12 10:44 3.1K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 19:02 3.1K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-21 16:21 3.1K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 19:02 3.1K 
[   ]ptouch-print-doc-1.7-r0.apk2025-09-13 16:23 3.1K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 19:02 3.1K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 15:18 3.1K 
[   ]beard-0.4-r0.apk2024-10-25 18:59 3.1K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 19:01 3.1K 
[   ]laze-bash-completion-0.1.38-r0.apk2025-07-20 20:22 3.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 19:02 3.1K 
[   ]makedumpfile-openrc-1.7.8-r0.apk2025-11-07 17:28 3.1K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:14 3.2K 
[   ]perl-multidimensional-doc-0.014-r1.apk2025-06-30 08:08 3.2K 
[   ]ptylie-doc-0.2-r2.apk2025-05-14 00:10 3.2K 
[   ]timeshift-doc-25.12.1-r0.apk2025-12-12 19:32 3.2K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 18:59 3.2K 
[   ]cocogitto-bash-completion-6.5.0-r0.apk2025-11-02 18:21 3.2K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 12:05 3.2K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 19:02 3.2K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-21 16:21 3.2K 
[   ]cocogitto-zsh-completion-6.5.0-r0.apk2025-11-02 18:21 3.2K 
[   ]today-6.2.1-r0.apk2025-06-17 15:29 3.2K 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-18 23:20 3.2K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 19:01 3.2K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 19:02 3.2K 
[   ]ty-fish-completion-0.0.4-r0.apk2025-12-21 18:50 3.2K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 19:02 3.2K 
[   ]cpufetch-doc-1.07-r0.apk2025-11-01 10:01 3.2K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 19:02 3.2K 
[   ]dprint-doc-0.49.1-r0.apk2025-04-13 22:35 3.2K 
[   ]xf86-video-vboxvideo-doc-1.0.0-r1.apk2024-10-25 19:04 3.2K 
[   ]persistent-cache-cpp-doc-1.0.9-r0.apk2025-09-08 01:00 3.2K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 22:09 3.2K 
[   ]witchery-0.0.3-r2.apk2024-10-25 19:04 3.2K 
[   ]glow-doc-2.1.1-r5.apk2025-12-04 22:48 3.2K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 15:06 3.2K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 16:03 3.2K 
[   ]powerctl-doc-1.1-r6.apk2025-04-18 16:34 3.2K 
[   ]perl-math-libm-doc-1.00-r15.apk2025-06-30 08:08 3.2K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 19:02 3.2K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 19:04 3.2K 
[   ]openocd-esp32-doc-0_git20250707-r2.apk2025-10-20 21:45 3.2K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 19:02 3.2K 
[   ]openocd-git-doc-0_git20251018-r1.apk2025-10-20 21:45 3.2K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-10 00:53 3.2K 
[   ]wl-screenrec-fish-completion-0.1.7-r1.apk2025-08-27 16:40 3.2K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 19:02 3.2K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-04-30 22:48 3.2K 
[   ]kbs2-bash-completion-0.7.3-r0.apk2025-07-30 07:28 3.2K 
[   ]tonutils-reverse-proxy-doc-0.4.6-r4.apk2025-12-04 22:49 3.2K 
[   ]perl-bareword-filehandles-doc-0.007-r1.apk2025-06-30 08:08 3.2K 
[   ]dprint-bash-completion-0.49.1-r0.apk2025-04-13 22:35 3.2K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 19:01 3.2K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 19:03 3.2K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 16:03 3.3K 
[   ]perl-module-extract-version-1.119-r0.apk2025-09-06 16:20 3.3K 
[   ]perl-flowd-doc-0.9.1-r11.apk2025-06-30 08:08 3.3K 
[   ]oniux-doc-0.6.1-r0.apk2025-09-08 15:37 3.3K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 19:02 3.3K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-14 21:16 3.3K 
[   ]linuxwave-doc-0.3.0-r0.apk2025-05-26 18:25 3.3K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 19:02 3.3K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 19:02 3.3K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 19:02 3.3K 
[   ]fastd-doc-23-r0.apk2025-01-29 16:00 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 19:02 3.3K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 19:01 3.3K 
[   ]initify-0_git20171210-r1.apk2024-10-25 19:01 3.3K 
[   ]perl-digest-crc-doc-0.24-r2.apk2025-06-30 08:08 3.3K 
[   ]sentrypeer-doc-4.0.4-r0.apk2025-03-21 16:21 3.3K 
[   ]treecat-doc-1.0.2_git20240706-r1.apk2024-11-29 13:19 3.3K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 19:04 3.3K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 10:55 3.3K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 19:02 3.3K 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 19:02 3.3K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 19:04 3.3K 
[   ]hub-fish-completion-2.14.2-r36.apk2025-12-04 22:48 3.3K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-04-27 15:07 3.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 19:02 3.3K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 19:02 3.3K 
[   ]today-doc-6.2.1-r0.apk2025-06-17 15:29 3.3K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 19:03 3.3K 
[   ]click-doc-0.5.2-r4.apk2025-02-22 14:38 3.3K 
[   ]perl-regexp-trie-doc-0.02-r0.apk2025-07-12 07:22 3.3K 
[   ]fatrace-doc-0.18.0-r0.apk2025-07-27 19:28 3.3K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:44 3.3K 
[   ]mergerfs-doc-2.41.1-r0.apk2025-12-13 16:07 3.3K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-10 03:12 3.3K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 19:01 3.3K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 19:02 3.3K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 19:02 3.3K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 18:59 3.3K 
[   ]perl-array-diff-0.09-r0.apk2025-07-10 05:38 3.3K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 19:02 3.3K 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 19:02 3.3K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 19:02 3.3K 
[   ]hyfetch-bash-completion-2.0.5-r0.apk2025-11-21 17:34 3.3K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 19:02 3.3K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 19:02 3.3K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 19:02 3.3K 
[   ]compiz-utils-0.9.14.2-r13.apk2025-11-29 00:00 3.3K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 19:02 3.3K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r1.apk2025-06-30 08:08 3.3K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 19:03 3.3K 
[   ]budgie-screensaver-doc-5.1.0-r0.apk2025-10-16 17:07 3.3K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-01 06:46 3.3K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r9.apk2025-07-12 16:07 3.3K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 19:02 3.3K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-19 14:15 3.3K 
[   ]solarus-engine-doc-1.7.0-r2.apk2025-07-20 19:28 3.3K 
[   ]openocd-git-dev-0_git20251018-r1.apk2025-10-20 21:45 3.4K 
[   ]perl-devel-leak-doc-0.03-r14.apk2025-06-30 08:08 3.4K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 19:03 3.4K 
[   ]noice-doc-0.8-r1.apk2024-10-25 19:02 3.4K 
[   ]perl-devel-trace-0.12-r0.apk2025-07-06 19:16 3.4K 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 19:01 3.4K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 15:18 3.4K 
[   ]snapper-bash-completion-0.13.0-r0.apk2025-09-16 18:45 3.4K 
[   ]alacritty-graphics-zsh-completion-0.16.1-r0.apk2025-12-18 00:02 3.4K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 04:47 3.4K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 19:01 3.4K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 19:02 3.4K 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-14 00:10 3.4K 
[   ]honeybee-doc-0.2.0-r1.apk2025-07-08 23:26 3.4K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 19:02 3.4K 
[   ]idevicerestore-doc-1.0.0_git20250914-r0.apk2025-10-06 17:37 3.4K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-01 06:46 3.4K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 19:04 3.4K 
[   ]rio-terminfo-0.2.35-r0.apk2025-11-14 21:57 3.4K 
[   ]perl-template-timer-1.00-r0.apk2025-04-13 21:31 3.4K 
[   ]py3-aesedb-examples-0.1.8-r0.apk2025-11-03 08:48 3.4K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-04-26 10:21 3.4K 
[   ]perl-ppi-xs-doc-0.910-r2.apk2025-06-30 08:08 3.4K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:48 3.4K 
[   ]perl-anyevent-dbus-0.31-r0.apk2025-12-13 07:45 3.4K 
[   ]perl-scalar-readonly-doc-0.03-r2.apk2025-06-30 08:08 3.4K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-string-crc32-doc-2.100-r5.apk2025-06-30 08:08 3.4K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 19:02 3.4K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 19:02 3.4K 
[   ]laze-fish-completion-0.1.38-r0.apk2025-07-20 20:22 3.4K 
[   ]kbs2-fish-completion-0.7.3-r0.apk2025-07-30 07:28 3.4K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 16:03 3.4K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-21 16:21 3.5K 
[   ]stgit-vim-2.4.7-r1.apk2024-10-25 19:03 3.5K 
[   ]ghc-current-cmds-9.15_pre20251106-r0.apk2025-11-16 07:29 3.5K 
[   ]perl-module-extract-version-doc-1.119-r0.apk2025-09-06 16:20 3.5K 
[   ]doasedit-1.0.9-r0.apk2025-10-31 15:15 3.5K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 19:02 3.5K 
[   ]perl-test-expect-0.34-r0.apk2025-04-21 17:35 3.5K 
[   ]arif-dev-0.3.0-r0.apk2025-11-30 10:11 3.5K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 19:01 3.5K 
[   ]perl-ref-util-xs-doc-0.117-r9.apk2025-06-30 08:08 3.5K 
[   ]git-quick-stats-doc-2.8.0-r0.apk2025-09-11 08:18 3.5K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 19:02 3.5K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-10-25 19:03 3.5K 
[   ]perl-devel-trace-doc-0.12-r0.apk2025-07-06 19:16 3.5K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 19:02 3.5K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 19:02 3.5K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 16:03 3.5K 
[   ]libbloom-dev-2.0-r0.apk2024-10-25 19:01 3.5K 
[   ]copyq-doc-13.0.0-r1.apk2025-12-04 22:48 3.5K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 19:02 3.5K 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:55 3.5K 
[   ]kpatch-doc-0.9.11-r1.apk2025-11-17 13:11 3.5K 
[   ]ty-zsh-completion-0.0.4-r0.apk2025-12-21 18:50 3.5K 
[   ]openocd-esp32-udev-0_git20250707-r2.apk2025-10-20 21:45 3.5K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.5K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 19:00 3.5K 
[   ]extrace-doc-0.9-r0.apk2024-10-25 19:00 3.5K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 14:55 3.5K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 04:47 3.5K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 19:02 3.5K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 19:03 3.5K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 19:02 3.5K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 19:03 3.5K 
[   ]nvtop-doc-3.2.0-r0.apk2025-04-29 23:39 3.5K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 19:01 3.5K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 14:57 3.5K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 19:02 3.5K 
[   ]openocd-git-udev-0_git20251018-r1.apk2025-10-20 21:45 3.5K 
[   ]mint-y-theme-2.3.2-r0.apk2025-11-14 21:57 3.5K 
[   ]uclient-dev-20241022-r0.apk2025-05-30 05:47 3.5K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 19:00 3.5K 
[   ]gsettings-qt-dev-1.1.0-r0.apk2025-12-09 11:10 3.6K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 12:05 3.6K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 16:03 3.6K 
[   ]qrcodegen-doc-1.8.0-r0.apk2025-11-29 18:56 3.6K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 19:02 3.6K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 19:02 3.6K 
[   ]snapper-zsh-completion-0.13.0-r0.apk2025-09-16 18:45 3.6K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 19:02 3.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 18:59 3.6K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-21 17:35 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 19:00 3.6K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-15 14:08 3.6K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-01 06:46 3.6K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 19:02 3.6K 
[   ]ijq-doc-1.2.0-r2.apk2025-12-04 22:48 3.6K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 19:02 3.6K 
[   ]laze-doc-0.1.38-r0.apk2025-07-20 20:22 3.6K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 19:02 3.6K 
[   ]perl-check-unitcheck-doc-0.13-r2.apk2025-06-30 08:08 3.6K 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 19:00 3.6K 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 03:59 3.6K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 19:01 3.6K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 19:02 3.6K 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 19:02 3.6K 
[   ]bcg729-dev-1.1.1-r1.apk2025-10-15 08:21 3.6K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-04-27 15:07 3.6K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 19:02 3.6K 
[   ]hurl-fish-completion-7.1.0-r0.apk2025-12-05 18:41 3.6K 
[   ]nrf5-sdk-doc-17.1.0-r0.apk2025-08-19 19:54 3.6K 
[   ]kew-doc-3.6.4-r0.apk2025-10-21 05:33 3.6K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 19:02 3.6K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 20:17 3.6K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-21 16:21 3.6K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 19:02 3.6K 
[   ]otpclient-doc-4.1.1-r0.apk2025-07-22 22:44 3.6K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 02:46 3.6K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 19:02 3.6K 
[   ]wl-screenrec-zsh-completion-0.1.7-r1.apk2025-08-27 16:40 3.7K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-13 21:31 3.7K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-04-26 10:21 3.7K 
[   ]flamelens-doc-0.3.1-r0.apk2025-04-29 07:46 3.7K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 19:02 3.7K 
[   ]openocd-esp32-dev-0_git20250707-r2.apk2025-10-20 21:45 3.7K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 19:04 3.7K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 19:01 3.7K 
[   ]wsmancli-doc-2.8.0-r0.apk2025-07-14 14:34 3.7K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 19:02 3.7K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 19:02 3.7K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 11:56 3.7K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 19:02 3.7K 
[   ]laze-zsh-completion-0.1.38-r0.apk2025-07-20 20:22 3.7K 
[   ]py3-flask-accept-pyc-0.0.7-r0.apk2025-07-12 15:29 3.7K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 04:47 3.7K 
[   ]captive-browser-doc-0_git20210801-r2.apk2025-12-04 22:48 3.7K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 21:23 3.7K 
[   ]py3-maidenhead-doc-1.8.0-r0.apk2025-08-10 21:47 3.7K 
[   ]winetricks-doc-20250102-r0.apk2025-02-05 22:22 3.7K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 19:02 3.7K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 19:30 3.7K 
[   ]gnome-mimeapps-0.1-r1.apk2025-08-09 16:27 3.7K 
[   ]perl-archive-any-lite-doc-0.11-r0.apk2025-07-11 08:46 3.7K 
[   ]toml2json-doc-1.3.2-r0.apk2025-09-01 13:13 3.7K 
[   ]dfl-login1-dev-0.3.0-r0.apk2025-08-21 07:47 3.7K 
[   ]dropwatch-doc-1.5.5-r2.apk2025-12-04 22:48 3.7K 
[   ]hub-zsh-completion-2.14.2-r36.apk2025-12-04 22:48 3.7K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 19:02 3.7K 
[   ]kiesel-doc-0_git20251119-r0.apk2025-11-21 03:24 3.7K 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 19:02 3.7K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 19:02 3.7K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 19:02 3.7K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 19:02 3.7K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 19:02 3.7K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 19:02 3.7K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 19:02 3.7K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-04-30 22:48 3.7K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 14:57 3.7K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 19:02 3.7K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 18:05 3.8K 
[   ]cocogitto-fish-completion-6.5.0-r0.apk2025-11-02 18:21 3.8K 
[   ]perl-date-range-1.41-r0.apk2025-07-08 16:53 3.8K 
[   ]perl-anyevent-dbus-doc-0.31-r0.apk2025-12-13 07:45 3.8K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 19:03 3.8K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 19:02 3.8K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 19:02 3.8K 
[   ]perl-b-hooks-op-check-doc-0.22-r1.apk2025-06-30 08:08 3.8K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:14 3.8K 
[   ]dprint-fish-completion-0.49.1-r0.apk2025-04-13 22:35 3.8K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 19:02 3.8K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 19:01 3.8K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-16 04:03 3.8K 
[   ]hare-gtk4-layer-shell-0.1.0-r0.apk2025-08-25 17:31 3.8K 
[   ]swappy-lang-1.7.1-r0.apk2025-08-21 23:29 3.8K 
[   ]mml-doc-1.0.0-r1.apk2025-09-30 00:40 3.8K 
[   ]deblob-doc-0.12-r0.apk2025-10-14 22:47 3.8K 
[   ]finger-doc-0.5-r0.apk2024-10-25 19:00 3.8K 
[   ]nwipe-doc-0.39-r0.apk2025-12-05 02:57 3.8K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-21 16:21 3.8K 
[   ]tuptime-doc-5.2.4-r2.apk2025-09-06 16:20 3.8K 
[   ]perl-term-size-doc-0.211-r5.apk2025-06-30 08:08 3.8K 
[   ]sct-2018.12.18-r1.apk2024-10-25 19:03 3.8K 
[   ]gupnp-doc-1.6.9-r1.apk2025-08-09 16:27 3.8K 
[   ]swappy-doc-1.7.1-r0.apk2025-08-21 23:29 3.8K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 19:02 3.8K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 19:02 3.8K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 07:37 3.8K 
[   ]hyprpicker-doc-0.4.5-r1.apk2025-12-14 11:02 3.8K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 05:42 3.8K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 19:02 3.8K 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 19:01 3.8K 
[   ]splitter-doc-0.4.1-r1.apk2025-07-15 04:09 3.8K 
[   ]perl-math-random-isaac-xs-doc-1.004-r9.apk2025-06-30 08:08 3.8K 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 16:03 3.8K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 19:02 3.8K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 18:59 3.8K 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-21 21:27 3.8K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 19:02 3.9K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 19:01 3.9K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 19:02 3.9K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 19:02 3.9K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 16:03 3.9K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 19:02 3.9K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 19:02 3.9K 
[   ]perl-time-timegm-doc-0.01-r10.apk2025-06-30 08:08 3.9K 
[   ]mdp-doc-1.0.18-r0.apk2025-07-10 05:38 3.9K 
[   ]certbot-dns-pdns-pyc-0.1.1-r1.apk2025-08-28 01:20 3.9K 
[   ]ty-pyc-0.0.4-r0.apk2025-12-21 18:50 3.9K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 19:02 3.9K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 19:02 3.9K 
[   ]perl-array-diff-doc-0.09-r0.apk2025-07-10 05:38 3.9K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 02:46 3.9K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk2025-07-15 20:03 3.9K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 16:03 3.9K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 19:02 3.9K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 12:06 3.9K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 19:03 3.9K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 19:02 3.9K 
[   ]z-doc-1.12-r0.apk2024-10-25 19:04 3.9K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 19:01 3.9K 
[   ]mangal-fish-completion-4.0.6-r23.apk2025-12-04 22:48 3.9K 
[   ]xisxwayland-2-r1.apk2024-10-25 19:04 3.9K 
[   ]dfl-applications-dev-0.3.0-r0.apk2025-08-21 07:47 3.9K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 19:02 3.9K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 19:02 3.9K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 14:57 3.9K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 19:02 3.9K 
[   ]taskwarrior-tui-doc-0.26.3-r0.apk2025-03-26 10:24 3.9K 
[   ]microsocks-doc-1.0.5-r0.apk2025-12-21 23:53 3.9K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 19:02 4.0K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 19:02 4.0K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 19:02 4.0K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 19:02 4.0K 
[   ]welle-io-doc-2.7-r0.apk2025-04-07 19:40 4.0K 
[   ]amdgpu_top-doc-0.11.0-r0.apk2025-09-03 00:47 4.0K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 19:02 4.0K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 19:02 4.0K 
[   ]himitsu-secret-service-doc-0.1_git20250705-r1.apk2025-10-20 13:50 4.0K 
[   ]k3sup-zsh-completion-0.13.6-r10.apk2025-12-04 22:48 4.0K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-10 03:12 4.0K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 18:59 4.0K 
[   ]mangal-zsh-completion-4.0.6-r23.apk2025-12-04 22:48 4.0K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-15 14:08 4.0K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 02:46 4.0K 
[   ]ko-zsh-completion-0.17.1-r10.apk2025-12-04 22:48 4.0K 
[   ]perl-file-treecreate-0.0.1-r0.apk2025-07-11 08:46 4.0K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 19:03 4.0K 
[   ]sq-zsh-completion-0.48.5-r10.apk2025-12-04 22:48 4.0K 
[   ]nom-doc-2.8.0-r8.apk2025-12-04 22:48 4.0K 
[   ]buf-zsh-completion-1.59.0-r1.apk2025-12-04 22:48 4.0K 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 19:02 4.0K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 19:02 4.0K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 19:03 4.0K 
[   ]devpod-zsh-completion-0.6.15-r9.apk2025-12-04 22:48 4.0K 
[   ]knative-client-zsh-completion-1.19.6-r1.apk2025-12-04 22:48 4.0K 
[   ]kumactl-zsh-completion-2.10.1-r6.apk2025-12-04 22:48 4.0K 
[   ]opa-zsh-completion-1.6.0-r4.apk2025-12-04 22:48 4.0K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 19:02 4.0K 
[   ]lima-zsh-completion-1.2.1-r1.apk2025-12-04 22:48 4.0K 
[   ]tenv-zsh-completion-4.9.0-r1.apk2025-12-04 22:48 4.0K 
[   ]upterm-zsh-completion-0.17.0-r1.apk2025-12-04 22:49 4.0K 
[   ]zot-cli-zsh-completion-2.1.8-r2.apk2025-12-04 22:49 4.0K 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-14 21:16 4.0K 
[   ]helmfile-zsh-completion-1.1.8-r1.apk2025-12-04 22:48 4.0K 
[   ]perl-date-range-doc-1.41-r0.apk2025-07-08 16:53 4.0K 
[   ]semaphoreui-zsh-completion-2.16.37-r1.apk2025-12-04 22:48 4.0K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 18:59 4.0K 
[   ]argocd-zsh-completion-3.2.0-r1.apk2025-12-04 22:48 4.0K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-21 16:21 4.0K 
[   ]timoni-zsh-completion-0.23.0-r10.apk2025-12-04 22:48 4.0K 
[   ]wgcf-zsh-completion-2.2.29-r1.apk2025-12-04 22:49 4.0K 
[   ]kbs2-zsh-completion-0.7.3-r0.apk2025-07-30 07:28 4.0K 
[   ]melange-zsh-completion-0.37.0-r0.apk2025-12-16 15:10 4.0K 
[   ]regal-zsh-completion-0.36.1-r1.apk2025-12-04 22:48 4.0K 
[   ]virtctl-zsh-completion-1.6.2-r1.apk2025-12-04 22:49 4.0K 
[   ]glow-zsh-completion-2.1.1-r5.apk2025-12-04 22:48 4.0K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-21 16:21 4.0K 
[   ]stern-zsh-completion-1.33.0-r1.apk2025-12-04 22:48 4.0K 
[   ]cilium-cli-zsh-completion-0.16.13-r10.apk2025-12-04 22:48 4.0K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 18:59 4.0K 
[   ]kraftkit-zsh-completion-0.12.3-r1.apk2025-12-04 22:48 4.0K 
[   ]virter-zsh-completion-0.29.0-r5.apk2025-12-04 22:49 4.0K 
[   ]tetragon-client-zsh-completion-1.1.2-r10.apk2025-12-04 22:48 4.1K 
[   ]git-bug-zsh-completion-0.8.1-r6.apk2025-12-04 22:48 4.1K 
[   ]hubble-cli-zsh-completion-0.13.6-r10.apk2025-12-04 22:48 4.1K 
[   ]kubepug-zsh-completion-1.7.1-r15.apk2025-12-04 22:48 4.1K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-25 18:59 4.1K 
[   ]bomctl-zsh-completion-0.1.9-r11.apk2025-12-04 22:48 4.1K 
[   ]ticker-zsh-completion-5.0.7-r7.apk2025-12-04 22:48 4.1K 
[   ]authenticator-rs-lang-0.8.6-r0.apk2025-09-01 18:29 4.1K 
[   ]primesieve-doc-12.10-r0.apk2025-11-25 19:08 4.1K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-22 14:38 4.1K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 19:02 4.1K 
[   ]heh-doc-0.6.2-r0.apk2025-12-12 15:04 4.1K 
[   ]perl-crypt-blowfish-doc-2.14-r1.apk2025-06-30 08:08 4.1K 
[   ]py3-logtop-pyc-0.7-r1.apk2025-08-09 16:28 4.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 19:02 4.1K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 19:02 4.1K 
[   ]dmenu-wl-doc-0.1-r0.apk2025-07-02 10:32 4.1K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-10-25 19:02 4.1K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 19:02 4.1K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 19:02 4.1K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 19:02 4.1K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-16 04:03 4.1K 
[   ]megatools-bash-completion-1.11.5.20250706-r0.apk2025-07-30 15:11 4.1K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 19:02 4.1K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 19:02 4.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 19:02 4.1K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-21 16:20 4.1K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 19:02 4.1K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 18:59 4.1K 
[   ]hurl-zsh-completion-7.1.0-r0.apk2025-12-05 18:41 4.1K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 18:59 4.1K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-15 14:08 4.1K 
[   ]fuzzylite-6.0-r2.apk2025-01-31 21:53 4.1K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 14:57 4.1K 
[   ]perl-archive-any-lite-0.11-r0.apk2025-07-11 08:46 4.1K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 11:29 4.1K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 19:02 4.1K 
[   ]dprint-zsh-completion-0.49.1-r0.apk2025-04-13 22:35 4.1K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:14 4.1K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 19:02 4.1K 
[   ]py3-wikipedia-doc-1.4.0-r0.apk2025-09-14 07:34 4.1K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 08:58 4.1K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 19:03 4.1K 
[   ]makeclapman-doc-2.4.4-r10.apk2025-12-04 22:48 4.1K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 19:02 4.2K 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 17:37 4.2K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-16 04:03 4.2K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 19:02 4.2K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 19:02 4.2K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-16 04:03 4.2K 
[   ]libirecovery-dev-1.3.0-r0.apk2025-10-06 17:37 4.2K 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 19:01 4.2K 
[   ]nwg-look-doc-1.0.6-r1.apk2025-12-04 22:48 4.2K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 18:59 4.2K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 19:02 4.2K 
[   ]base64c-0.2.1-r0.apk2024-10-25 18:59 4.2K 
[   ]py3-mando-doc-0.8.2-r0.apk2025-12-18 11:03 4.2K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 19:04 4.2K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 19:02 4.2K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 19:03 4.2K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 19:02 4.2K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 18:59 4.2K 
[   ]apt-swarm-bash-completion-0.5.1-r1.apk2025-10-12 13:42 4.2K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 22:09 4.2K 
[   ]netdiscover-doc-0.21-r0.apk2025-08-15 12:46 4.2K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 19:02 4.2K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 19:02 4.2K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-01 06:46 4.2K 
[   ]perl-html-treebuilder-xpath-doc-0.14-r0.apk2025-07-10 05:38 4.2K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:05 4.2K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 19:02 4.2K 
[   ]primecount-doc-7.20-r0.apk2025-11-25 19:08 4.2K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 18:59 4.2K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 19:02 4.2K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 19:01 4.2K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 19:02 4.2K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 19:03 4.2K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 19:02 4.2K 
[   ]steamguard-cli-zsh-completion-0.17.1-r0.apk2025-07-10 22:02 4.2K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 19:03 4.2K 
[   ]perl-ev-hiredis-doc-0.07-r3.apk2025-06-30 08:08 4.2K 
[   ]nautilus-python-doc-4.0.1-r0.apk2025-10-12 21:54 4.2K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 19:01 4.2K 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 20:02 4.2K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 19:02 4.3K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 19:02 4.3K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 19:02 4.3K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 19:02 4.3K 
[   ]perl-file-mmagic-xs-doc-0.09008-r5.apk2025-06-30 08:08 4.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 19:02 4.3K 
[   ]rankwidth-static-0.9-r4.apk2025-10-28 01:54 4.3K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 19:02 4.3K 
[   ]k3sup-fish-completion-0.13.6-r10.apk2025-12-04 22:48 4.3K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 19:02 4.3K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 19:01 4.3K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 02:00 4.3K 
[   ]pash-2.3.0-r2.apk2024-10-25 19:02 4.3K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 19:00 4.3K 
[   ]fnm-zsh-completion-1.38.1-r0.apk2024-11-25 14:28 4.3K 
[   ]fnm-fish-completion-1.38.1-r0.apk2024-11-25 14:28 4.3K 
[   ]ko-fish-completion-0.17.1-r10.apk2025-12-04 22:48 4.3K 
[   ]sq-fish-completion-0.48.5-r10.apk2025-12-04 22:48 4.3K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 19:02 4.3K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 19:00 4.3K 
[   ]perl-devel-refcount-doc-0.10-r2.apk2025-06-30 08:08 4.3K 
[   ]buf-fish-completion-1.59.0-r1.apk2025-12-04 22:48 4.3K 
[   ]flannel-contrib-cni-0.27.4-r1.apk2025-12-04 22:48 4.3K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 19:02 4.3K 
[   ]warpinator-nemo-2.0.0-r0.apk2025-11-25 19:08 4.3K 
[   ]knative-client-fish-completion-1.19.6-r1.apk2025-12-04 22:48 4.3K 
[   ]xsane-doc-0.999-r2.apk2024-10-25 19:04 4.3K 
[   ]devpod-fish-completion-0.6.15-r9.apk2025-12-04 22:48 4.3K 
[   ]vali-dev-0.1.0-r1.apk2025-11-30 11:57 4.3K 
[   ]zot-cli-fish-completion-2.1.8-r2.apk2025-12-04 22:49 4.3K 
[   ]opa-fish-completion-1.6.0-r4.apk2025-12-04 22:48 4.3K 
[   ]stern-fish-completion-1.33.0-r1.apk2025-12-04 22:48 4.3K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 19:01 4.3K 
[   ]perl-asa-1.04-r0.apk2025-06-11 16:34 4.3K 
[   ]wgcf-fish-completion-2.2.29-r1.apk2025-12-04 22:49 4.3K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 19:02 4.3K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-10 03:12 4.3K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 19:02 4.3K 
[   ]glow-fish-completion-2.1.1-r5.apk2025-12-04 22:48 4.3K 
[   ]semaphoreui-fish-completion-2.16.37-r1.apk2025-12-04 22:48 4.3K 
[   ]timoni-fish-completion-0.23.0-r10.apk2025-12-04 22:48 4.3K 
[   ]kraftkit-fish-completion-0.12.3-r1.apk2025-12-04 22:48 4.3K 
[   ]regal-fish-completion-0.36.1-r1.apk2025-12-04 22:48 4.3K 
[   ]virtctl-fish-completion-1.6.2-r1.apk2025-12-04 22:49 4.3K 
[   ]kumactl-fish-completion-2.10.1-r6.apk2025-12-04 22:48 4.3K 
[   ]lima-fish-completion-1.2.1-r1.apk2025-12-04 22:48 4.3K 
[   ]melange-fish-completion-0.37.0-r0.apk2025-12-16 15:10 4.3K 
[   ]cilium-cli-fish-completion-0.16.13-r10.apk2025-12-04 22:48 4.3K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-21 16:21 4.3K 
[   ]tenv-fish-completion-4.9.0-r1.apk2025-12-04 22:48 4.3K 
[   ]virter-fish-completion-0.29.0-r5.apk2025-12-04 22:49 4.3K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 16:03 4.3K 
[   ]tetragon-client-fish-completion-1.1.2-r10.apk2025-12-04 22:48 4.3K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-17 17:29 4.3K 
[   ]helmfile-fish-completion-1.1.8-r1.apk2025-12-04 22:48 4.3K 
[   ]xpar-doc-0.7-r0.apk2025-09-27 14:33 4.3K 
[   ]hubble-cli-fish-completion-0.13.6-r10.apk2025-12-04 22:48 4.3K 
[   ]kubepug-fish-completion-1.7.1-r15.apk2025-12-04 22:48 4.3K 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 08:30 4.3K 
[   ]git-bug-fish-completion-0.8.1-r6.apk2025-12-04 22:48 4.3K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-10 03:12 4.3K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 19:02 4.3K 
[   ]ticker-fish-completion-5.0.7-r7.apk2025-12-04 22:48 4.3K 
[   ]bomctl-fish-completion-0.1.9-r11.apk2025-12-04 22:48 4.3K 
[   ]gl2ps-dev-1.4.2-r0.apk2025-10-12 21:34 4.3K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 19:02 4.4K 
[   ]cargo-machete-doc-0.9.1-r0.apk2025-08-17 23:52 4.4K 
[   ]perl-musicbrainz-discid-doc-0.06-r2.apk2025-06-30 08:08 4.4K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 19:01 4.4K 
[   ]kompose-fish-completion-1.31.2-r15.apk2025-12-04 22:48 4.4K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 19:02 4.4K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 19:01 4.4K 
[   ]qsynth-doc-1.0.3-r0.apk2025-11-25 11:01 4.4K 
[   ]perl-parse-distname-doc-0.05-r0.apk2025-07-11 08:46 4.4K 
[   ]perl-perlio-locale-0.10-r13.apk2025-06-30 08:08 4.4K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 19:02 4.4K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 19:02 4.4K 
[   ]py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk2024-10-25 19:02 4.4K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:14 4.4K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 18:59 4.4K 
[   ]perl-file-treecreate-doc-0.0.1-r0.apk2025-07-11 08:46 4.4K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 19:02 4.4K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 19:02 4.4K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 19:02 4.4K 
[   ]quark-doc-0.5-r0.apk2025-10-11 17:10 4.4K 
[   ]sentinel-proxy-dev-2.1.0-r1.apk2025-06-13 14:12 4.4K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 19:02 4.4K 
[   ]ghostty-fish-completion-1.2.3_git20251118-r1.apk2025-12-14 22:09 4.4K 
[   ]snore-0.3.1-r0.apk2024-10-25 19:03 4.4K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 19:02 4.4K 
[   ]nwg-panel-doc-0.10.13-r0.apk2025-11-28 13:29 4.4K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 06:07 4.4K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 19:03 4.4K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r1.apk2025-05-22 07:16 4.4K 
[   ]lutgen-doc-1.0.1-r0.apk2025-11-20 10:14 4.5K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 19:02 4.5K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 16:03 4.5K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-21 16:21 4.5K 
[   ]zrepl-bash-completion-0.6.1-r16.apk2025-12-04 22:49 4.5K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 19:02 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 21:49 4.5K 
[   ]ghostty-zsh-completion-1.2.3_git20251118-r1.apk2025-12-14 22:09 4.5K 
[   ]odin-doc-0.2025.12-r0.apk2025-12-02 21:59 4.5K 
[   ]quodlibet-bash-completion-4.7.1-r0.apk2025-09-16 18:53 4.5K 
[   ]pdf2svg-0.2.4-r0.apk2025-09-28 18:49 4.5K 
[   ]wakeonlan-0.42-r0.apk2024-10-25 19:03 4.5K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 19:02 4.5K 
[   ]speedtest-go-doc-1.1.5-r20.apk2025-12-04 22:48 4.5K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 19:01 4.5K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-14 19:18 4.5K 
[   ]gr-satellites-doc-5.5.0-r6.apk2025-10-12 12:09 4.5K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 19:00 4.5K 
[   ]py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk2024-10-25 19:02 4.5K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 19:02 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r1.apk2025-06-30 08:08 4.5K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 19:02 4.5K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 19:03 4.5K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 19:01 4.5K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 19:02 4.5K 
[   ]wiki-tui-doc-0.9.1-r0.apk2025-11-02 18:10 4.5K 
[   ]perl-data-clone-doc-0.006-r1.apk2025-06-30 08:08 4.5K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 19:02 4.5K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 19:01 4.5K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 04:47 4.5K 
[   ]godot-doc-4.5.1-r0.apk2025-12-18 19:45 4.5K 
[   ]way-displays-doc-1.15.0-r0.apk2025-09-30 09:47 4.6K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 19:01 4.6K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 19:01 4.6K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 19:00 4.6K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 19:02 4.6K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 19:02 4.6K 
[   ]hub-bash-completion-2.14.2-r36.apk2025-12-04 22:48 4.6K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 14:55 4.6K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 19:01 4.6K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 19:02 4.6K 
[   ]py3-uc-micro-py-pyc-1.0.3-r0.apk2025-10-27 08:46 4.6K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 18:59 4.6K 
[   ]nitro-init-doc-0.6-r0.apk2025-12-16 23:50 4.6K 
[   ]tomcat9-openrc-9.0.112-r0.apk2025-12-01 20:49 4.6K 
[   ]youki-dbg-0.4.1-r0.apk2024-10-25 19:04 4.6K 
[   ]z-1.12-r0.apk2024-10-25 19:04 4.6K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 19:02 4.6K 
[   ]perl-linux-pid-0.04-r15.apk2025-06-30 08:08 4.6K 
[   ]ry-0.5.2-r1.apk2024-10-25 19:03 4.6K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 19:02 4.6K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 19:02 4.6K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 18:59 4.6K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:06 4.6K 
[   ]perl-signature-attribute-checked-doc-0.06-r1.apk2025-06-30 08:08 4.6K 
[   ]surf-doc-2.1-r3.apk2024-10-25 19:03 4.6K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 19:03 4.6K 
[   ]minikube-fish-completion-1.34.0-r10.apk2025-12-04 22:48 4.6K 
[   ]php82-ctype-8.2.30-r1.apk2025-12-17 20:10 4.6K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 19:02 4.6K 
[   ]cataclysm-dda-doc-0h-r0.apk2025-03-21 16:20 4.6K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-29 16:00 4.6K 
[   ]perl-multidimensional-0.014-r1.apk2025-06-30 08:08 4.7K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 19:02 4.7K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 18:59 4.7K 
[   ]haredo-doc-1.0.5-r1.apk2024-11-29 00:08 4.7K 
[   ]php81-ctype-8.1.34-r0.apk2025-12-17 02:27 4.7K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 02:46 4.7K 
[   ]envsubst-0.1-r1.apk2024-10-25 19:00 4.7K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-14 17:12 4.7K 
[   ]hare-scfg-0.25.2-r0.apk2025-09-22 15:28 4.7K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 19:02 4.7K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 19:02 4.7K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 19:02 4.7K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 19:02 4.7K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 19:01 4.7K 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 19:02 4.7K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 16:03 4.7K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 19:02 4.7K 
[   ]soundconverter-doc-4.1.1-r0.apk2025-07-29 13:12 4.7K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 19:02 4.7K 
[   ]duf-doc-0.9.1-r2.apk2025-12-04 22:48 4.7K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 19:01 4.7K 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 18:59 4.7K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 19:02 4.7K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-13 21:31 4.7K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 19:04 4.7K 
[   ]svt-vp9-doc-0.3.1-r0.apk2025-12-20 22:51 4.7K 
[   ]bat-extras-batman-2024.08.24-r0.apk2025-10-12 20:47 4.7K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 19:02 4.8K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk2025-07-15 20:03 4.8K 
[   ]ruby-minitest-proveit-1.0.0-r0.apk2025-07-06 19:16 4.8K 
[   ]rankwidth-libs-0.9-r4.apk2025-10-28 01:54 4.8K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 19:01 4.8K 
[   ]dfl-ipc-dev-0.3.0-r0.apk2025-08-21 07:47 4.8K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 19:02 4.8K 
[   ]ruby-build-doc-20250925-r0.apk2025-09-28 15:45 4.8K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 19:02 4.8K 
[   ]findtow-0.1-r0.apk2024-10-25 19:00 4.8K 
[   ]perl-alien-libgumbo-doc-0.05-r1.apk2025-06-30 08:08 4.8K 
[   ]perl-encode-detect-doc-1.01-r1.apk2025-06-30 08:08 4.8K 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-18 23:20 4.8K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 04:32 4.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 19:02 4.9K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 04:32 4.9K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 19:02 4.9K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 15:17 4.9K 
[   ]sstp-client-doc-1.0.20-r3.apk2025-10-24 14:48 4.9K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 19:02 4.9K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 19:02 4.9K 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 19:01 4.9K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 04:38 4.9K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-14 00:10 4.9K 
[   ]ngs-vim-0.2.14-r0.apk2024-10-25 19:02 4.9K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 19:02 4.9K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 19:02 4.9K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 14:12 4.9K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 19:02 4.9K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 19:02 4.9K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 19:04 4.9K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 19:02 4.9K 
[   ]ckb-next-dev-0.6.2-r1.apk2025-09-06 16:20 4.9K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-01 06:46 4.9K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 19:02 4.9K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 19:02 4.9K 
[   ]dfl-sni-dev-0.3.0-r0.apk2025-08-21 07:47 5.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 19:02 5.0K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 19:03 5.0K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 19:02 5.0K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 19:02 5.0K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 19:01 5.0K 
[   ]lomiri-action-api-dev-1.2.1-r0.apk2025-10-25 20:19 5.0K 
[   ]reaction-tools-2.2.1-r0.apk2025-09-23 22:32 5.0K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 19:02 5.0K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 19:02 5.0K 
[   ]paperde-dev-0.3.0-r2.apk2025-08-21 07:47 5.0K 
[   ]perl-test-redisserver-0.23-r0.apk2024-10-25 19:02 5.0K 
[   ]lv_font_conv-doc-1.5.3-r0.apk2025-08-19 19:54 5.0K 
[   ]svt-hevc-doc-1.5.1-r2.apk2024-10-25 19:03 5.0K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 06:07 5.0K 
[   ]mangal-bash-completion-4.0.6-r23.apk2025-12-04 22:48 5.0K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 19:03 5.0K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 16:34 5.0K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 19:02 5.0K 
[   ]perl-astro-telescope-doc-0.71-r0.apk2025-07-21 08:41 5.0K 
[   ]libdbusaccess-dev-1.0.20-r1.apk2025-08-09 16:27 5.0K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-10 12:16 5.0K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-10 12:16 5.0K 
[   ]perl-template-tiny-doc-1.16-r0.apk2025-07-24 13:19 5.0K 
[   ]k3sup-bash-completion-0.13.6-r10.apk2025-12-04 22:48 5.0K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 19:02 5.0K 
[   ]reap-0.2-r0.apk2025-09-13 16:23 5.0K 
[   ]ko-bash-completion-0.17.1-r10.apk2025-12-04 22:48 5.0K 
[   ]sq-bash-completion-0.48.5-r10.apk2025-12-04 22:48 5.0K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 19:01 5.1K 
[   ]mailctl-doc-0.9.2-r0.apk2024-10-25 19:01 5.1K 
[   ]devpod-bash-completion-0.6.15-r9.apk2025-12-04 22:48 5.1K 
[   ]cilium-cli-bash-completion-0.16.13-r10.apk2025-12-04 22:48 5.1K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 19:04 5.1K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 18:59 5.1K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 19:02 5.1K 
[   ]hubble-cli-bash-completion-0.13.6-r10.apk2025-12-04 22:48 5.1K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk2025-07-15 20:03 5.1K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 21:26 5.1K 
[   ]tetragon-client-bash-completion-1.1.2-r10.apk2025-12-04 22:48 5.1K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-14 17:12 5.1K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-11 11:19 5.1K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 19:02 5.1K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 19:02 5.1K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 19:02 5.1K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-05 23:30 5.1K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 19:04 5.1K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 19:02 5.1K 
[   ]lomiri-thumbnailer-dev-3.1.0-r0.apk2025-12-09 11:10 5.1K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 19:02 5.1K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-04 23:00 5.1K 
[   ]perl-algorithm-permute-doc-0.17-r1.apk2025-06-30 08:08 5.1K 
[   ]virtctl-bash-completion-1.6.2-r1.apk2025-12-04 22:49 5.1K 
[   ]kubepug-bash-completion-1.7.1-r15.apk2025-12-04 22:48 5.1K 
[   ]bomctl-bash-completion-0.1.9-r11.apk2025-12-04 22:48 5.1K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 19:02 5.1K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 19:02 5.1K 
[   ]kine-doc-0.10.1-r18.apk2025-12-04 22:48 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 19:02 5.2K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 19:02 5.2K 
[   ]ruby-minitest-focus-1.3.1-r0.apk2025-07-06 19:16 5.2K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 19:02 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 19:02 5.2K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 19:02 5.2K 
[   ]cargo-shuttle-bash-completion-0.56.6-r0.apk2025-07-29 07:56 5.2K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 19:02 5.2K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 19:02 5.2K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 19:02 5.2K 
[   ]perl-io-interactive-doc-1.027-r0.apk2025-09-01 13:16 5.2K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 19:02 5.2K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 19:03 5.2K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-04 23:00 5.2K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 19:02 5.2K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 19:02 5.2K 
[   ]perl-scalar-readonly-0.03-r2.apk2025-06-30 08:08 5.2K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 14:57 5.2K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 19:02 5.2K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 19:02 5.2K 
[   ]sstp-client-dev-1.0.20-r3.apk2025-10-24 14:48 5.2K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 19:02 5.2K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 19:04 5.2K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 18:59 5.2K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 16:03 5.2K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 19:01 5.2K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 19:02 5.2K 
[   ]fbdebug-1.0.1-r0.apk2025-12-19 21:47 5.2K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-14 19:18 5.3K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 19:02 5.3K 
[   ]tcpbench-doc-3.00-r1.apk2025-09-12 22:22 5.3K 
[   ]rankwidth-0.9-r4.apk2025-10-28 01:54 5.3K 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 19:00 5.3K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 16:03 5.3K 
[   ]git-bug-bash-completion-0.8.1-r6.apk2025-12-04 22:48 5.3K 
[   ]mbpfan-doc-2.4.0-r1.apk2024-10-25 19:02 5.3K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 16:16 5.3K 
[   ]budgie-session-doc-0.9.1-r0.apk2025-10-16 17:07 5.3K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 19:02 5.3K 
[   ]syncwhen-0.3-r0.apk2025-11-01 17:26 5.3K 
[   ]perl-string-compare-constanttime-doc-0.321-r7.apk2025-06-30 08:08 5.3K 
[   ]acmeleaf-doc-0.2.0-r1.apk2025-12-04 22:48 5.3K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 18:59 5.3K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 19:02 5.3K 
[   ]calibre-bash-completion-8.15.0-r0.apk2025-12-01 01:32 5.3K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 12:06 5.3K 
[   ]perl-io-interactive-1.027-r0.apk2025-09-01 13:16 5.3K 
[   ]bat-extras-2024.08.24-r0.apk2025-10-12 20:47 5.3K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-17 22:14 5.3K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 19:04 5.3K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 19:02 5.3K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 19:01 5.3K 
[   ]perl-xs-object-magic-doc-0.05-r0.apk2025-12-13 07:45 5.3K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 19:02 5.3K 
[   ]perl-guard-doc-1.023-r10.apk2025-06-30 08:08 5.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 19:02 5.3K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 19:02 5.3K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-16 04:03 5.3K 
[   ]serialdv-dev-1.1.5-r0.apk2025-10-24 20:31 5.3K 
[   ]neo4j-client-doc-2.2.0-r3.apk2024-10-25 19:02 5.4K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 19:02 5.4K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 19:02 5.4K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 19:02 5.4K 
[   ]py3-flake8-isort-pyc-7.0.0-r0.apk2025-10-25 20:13 5.4K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 19:01 5.4K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 19:01 5.4K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 19:03 5.4K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-21 16:21 5.4K 
[   ]ruby-minitest-server-1.0.9-r0.apk2025-11-21 15:40 5.4K 
[   ]perl-net-async-redis-xs-doc-1.001-r2.apk2025-06-30 08:08 5.4K 
[   ]py3-pytest-datadir-pyc-1.8.0-r0.apk2025-08-05 12:51 5.4K 
[   ]bat-extras-batdiff-2024.08.24-r0.apk2025-10-12 20:47 5.4K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 19:02 5.4K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 11:56 5.4K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 19:02 5.4K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 19:02 5.4K 
[   ]perl-html-gumbo-doc-0.18-r2.apk2025-06-30 08:08 5.4K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 02:00 5.4K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-16 04:03 5.4K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 19:01 5.4K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 19:02 5.4K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 19:02 5.4K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 12:06 5.4K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 19:02 5.4K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 19:01 5.5K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 19:02 5.5K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 19:02 5.5K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 19:02 5.5K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 19:02 5.5K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 19:03 5.5K 
[   ]perl-parse-distname-0.05-r0.apk2025-07-11 08:46 5.5K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 19:02 5.5K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 19:01 5.5K 
[   ]spvm-mime-base64-doc-1.003-r1.apk2025-06-30 08:08 5.5K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 18:59 5.5K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 04:38 5.5K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-21 17:33 5.5K 
[   ]flightgear-bash-completion-2024.1.1-r0.apk2025-03-05 00:17 5.5K 
[   ]ghq-doc-1.8.0-r6.apk2025-12-04 22:48 5.5K 
[   ]perl-conf-libconfig-doc-1.0.3-r2.apk2025-06-30 08:08 5.5K 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 19:02 5.5K 
[   ]argocd-doc-3.2.0-r1.apk2025-12-04 22:48 5.5K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 19:02 5.5K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 19:01 5.5K 
[   ]tick-doc-1.2.3-r0.apk2025-10-06 06:21 5.5K 
[   ]jadx-doc-1.5.3-r0.apk2025-09-10 12:54 5.5K 
[   ]php82-sysvsem-8.2.30-r1.apk2025-12-17 20:10 5.5K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-10 03:12 5.5K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 19:04 5.5K 
[   ]kubeseal-doc-0.32.1-r2.apk2025-12-04 22:48 5.5K 
[   ]libbloom-2.0-r0.apk2024-10-25 19:01 5.5K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 19:02 5.5K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 19:01 5.5K 
[   ]perl-template-tiny-1.16-r0.apk2025-07-24 13:19 5.5K 
[   ]php81-sysvsem-8.1.34-r0.apk2025-12-17 02:27 5.5K 
[   ]perl-term-size-0.211-r5.apk2025-06-30 08:08 5.6K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 19:02 5.6K 
[   ]upterm-bash-completion-0.17.0-r1.apk2025-12-04 22:49 5.6K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-14 00:10 5.6K 
[   ]py3-rst-0.1-r9.apk2024-10-25 19:02 5.6K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-14 00:10 5.6K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 15:17 5.6K 
[   ]perl-cpansa-db-doc-20250807.001-r0.apk2025-09-01 13:16 5.6K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 19:02 5.6K 
[   ]perl-list-keywords-doc-0.11-r1.apk2025-06-30 08:08 5.6K 
[   ]py3-flask-accept-0.0.7-r0.apk2025-07-12 15:29 5.6K 
[   ]bat-extras-prettybat-2024.08.24-r0.apk2025-10-12 20:47 5.6K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 12:06 5.6K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 19:02 5.6K 
[   ]kompose-bash-completion-1.31.2-r15.apk2025-12-04 22:48 5.6K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 19:01 5.6K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 19:01 5.6K 
[   ]ubus-dev-2025.10.17-r0.apk2025-10-25 12:57 5.6K 
[   ]neard-doc-0.19-r0.apk2024-10-25 19:02 5.6K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 19:02 5.6K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 19:02 5.6K 
[   ]perl-data-section-doc-0.200008-r0.apk2025-07-07 17:02 5.6K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk2025-08-09 16:28 5.6K 
[   ]php81-gettext-8.1.34-r0.apk2025-12-17 02:27 5.6K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 19:02 5.6K 
[   ]perl-ppi-xs-0.910-r2.apk2025-06-30 08:08 5.6K 
[   ]budgie-desktop-doc-10.9.2-r0.apk2025-10-16 17:07 5.6K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 19:02 5.7K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 19:02 5.7K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 19:02 5.7K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 19:02 5.7K 
[   ]macchina-doc-6.4.0-r0.apk2025-06-25 03:45 5.7K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 19:02 5.7K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 19:01 5.7K 
[   ]bgs-0.8-r1.apk2024-10-25 18:59 5.7K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 19:02 5.7K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 19:02 5.7K 
[   ]php82-gettext-8.2.30-r1.apk2025-12-17 20:10 5.7K 
[   ]materia-chromium-20210322-r3.apk2025-07-10 17:09 5.7K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 19:01 5.7K 
[   ]imapgoose-doc-0.4.1-r1.apk2025-12-04 22:48 5.7K 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 19:02 5.7K 
[   ]materia-compact-chromium-20210322-r3.apk2025-07-10 17:09 5.7K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 19:02 5.7K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 19:02 5.7K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 19:03 5.7K 
[   ]materia-dark-chromium-20210322-r3.apk2025-07-10 17:09 5.7K 
[   ]memdump-1.01-r1.apk2024-10-25 19:02 5.7K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 19:02 5.7K 
[   ]perl-freezethaw-doc-0.5001-r3.apk2025-10-18 10:32 5.7K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 19:01 5.7K 
[   ]materia-dark-compact-chromium-20210322-r3.apk2025-07-10 17:09 5.7K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 19:01 5.7K 
[   ]pfetch-doc-1.9.4-r0.apk2025-10-22 22:32 5.7K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 19:02 5.7K 
[   ]libnfcdef-dev-1.0.1-r1.apk2025-08-09 16:27 5.7K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 19:02 5.7K 
[   ]apt-swarm-fish-completion-0.5.1-r1.apk2025-10-12 13:42 5.7K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 19:03 5.7K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 19:02 5.7K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-10 03:12 5.7K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 19:03 5.7K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 19:02 5.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 18:59 5.8K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 14:55 5.8K 
[   ]perl-bareword-filehandles-0.007-r1.apk2025-06-30 08:08 5.8K 
[   ]gsimplecal-doc-2.5.2-r0.apk2025-10-16 18:48 5.8K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 16:03 5.8K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 19:02 5.8K 
[   ]symlinks-1.4.3-r0.apk2025-04-21 21:27 5.8K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 19:02 5.8K 
[   ]vector-doc-0.50.0-r0.apk2025-10-05 19:20 5.8K 
[   ]perl-check-unitcheck-0.13-r2.apk2025-06-30 08:08 5.8K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 19:02 5.8K 
[   ]py3-himitsu-0.0.9-r0.apk2025-08-25 10:57 5.8K 
[   ]aggregate6-pyc-1.0.14-r0.apk2025-10-14 11:05 5.8K 
[   ]herbe-1.0.0-r0.apk2024-10-25 19:01 5.8K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 19:01 5.8K 
[   ]php82-shmop-8.2.30-r1.apk2025-12-17 20:10 5.8K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 22:09 5.8K 
[   ]neocmakelsp-doc-0.9.0-r0.apk2025-11-22 13:30 5.8K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-14 18:16 5.8K 
[   ]stern-bash-completion-1.33.0-r1.apk2025-12-04 22:48 5.8K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 19:02 5.8K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 19:03 5.8K 
[   ]bat-extras-batwatch-2024.08.24-r0.apk2025-10-12 20:47 5.8K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 19:00 5.9K 
[   ]php81-shmop-8.1.34-r0.apk2025-12-17 02:27 5.9K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 19:02 5.9K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-04-26 17:12 5.9K 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 10:22 5.9K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 19:02 5.9K 
[   ]apt-swarm-zsh-completion-0.5.1-r1.apk2025-10-12 13:42 5.9K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 19:02 5.9K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 19:03 5.9K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 18:17 5.9K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 19:02 5.9K 
[   ]sq-doc-0.48.5-r10.apk2025-12-04 22:48 5.9K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 19:02 5.9K 
[   ]xmoto-doc-0.6.3-r0.apk2025-10-03 17:02 5.9K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-10 03:12 5.9K 
[   ]perl-syntax-operator-in-doc-0.10-r1.apk2025-06-30 08:08 5.9K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 19:02 5.9K 
[   ]jreleaser-doc-1.21.0-r0.apk2025-10-31 17:31 5.9K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 19:02 6.0K 
[   ]perl-carp-repl-0.18-r0.apk2025-04-26 17:12 6.0K 
[   ]spvm-thread-doc-0.003-r1.apk2025-06-30 08:08 6.0K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 19:02 6.0K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 14:55 6.0K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-21 17:33 6.0K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 19:02 6.0K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:07 6.0K 
[   ]ghc-current-doc-9.15_pre20251106-r0.apk2025-11-16 07:29 6.0K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 18:59 6.0K 
[   ]perl-devel-refcount-0.10-r2.apk2025-06-30 08:08 6.0K 
[   ]mlxl-0.1-r0.apk2024-10-25 19:02 6.0K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-14 00:10 6.0K 
[   ]spvm-errno-doc-0.093-r1.apk2025-06-30 08:08 6.0K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 19:02 6.0K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 19:01 6.0K 
[   ]perl-datetime-astro-doc-1.04-r0.apk2025-07-21 08:41 6.0K 
[   ]dislocker-doc-0.7.3-r6.apk2025-07-19 22:52 6.0K 
[   ]mint-x-theme-metacity-2.3.2-r0.apk2025-11-14 21:57 6.0K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 19:02 6.0K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 19:02 6.0K 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-25 15:30 6.0K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 07:58 6.1K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 19:02 6.1K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 19:00 6.1K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 19:02 6.1K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 19:02 6.1K 
[   ]wayprompt-doc-0.1.2-r2.apk2025-05-24 02:16 6.1K 
[   ]opa-bash-completion-1.6.0-r4.apk2025-12-04 22:48 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 19:02 6.1K 
[   ]semaphoreui-bash-completion-2.16.37-r1.apk2025-12-04 22:48 6.1K 
[   ]wgcf-bash-completion-2.2.29-r1.apk2025-12-04 22:49 6.1K 
[   ]zot-cli-bash-completion-2.1.8-r2.apk2025-12-04 22:49 6.1K 
[   ]lima-bash-completion-1.2.1-r1.apk2025-12-04 22:48 6.1K 
[   ]tenv-bash-completion-4.9.0-r1.apk2025-12-04 22:48 6.1K 
[   ]glow-bash-completion-2.1.1-r5.apk2025-12-04 22:48 6.1K 
[   ]regal-bash-completion-0.36.1-r1.apk2025-12-04 22:48 6.1K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 19:02 6.1K 
[   ]virter-bash-completion-0.29.0-r5.apk2025-12-04 22:49 6.1K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 19:02 6.1K 
[   ]kraftkit-bash-completion-0.12.3-r1.apk2025-12-04 22:48 6.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 19:02 6.1K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 19:02 6.1K 
[   ]helmfile-bash-completion-1.1.8-r1.apk2025-12-04 22:48 6.1K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-17 22:14 6.1K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 19:02 6.1K 
[   ]ticker-bash-completion-5.0.7-r7.apk2025-12-04 22:48 6.1K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 18:59 6.1K 
[   ]virtualgl-dev-3.1.4-r0.apk2025-10-09 07:23 6.1K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 14:57 6.1K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 19:03 6.1K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 19:02 6.1K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 07:50 6.2K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 19:02 6.2K 
[   ]py3-doi-0.2-r0.apk2025-04-13 21:31 6.2K 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 19:03 6.2K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 19:01 6.2K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-07 11:08 6.2K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 19:02 6.2K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 19:02 6.2K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 16:03 6.2K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 04:32 6.2K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 19:02 6.2K 
[   ]py3-dbus-fast-doc-3.1.2-r0.apk2025-11-23 21:15 6.2K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:38 6.2K 
[   ]php82-pecl-uuid-1.3.0-r0.apk2025-10-24 14:48 6.2K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 19:02 6.2K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 19:02 6.3K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 19:02 6.3K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-14 00:10 6.3K 
[   ]perl-net-patricia-doc-1.24-r0.apk2025-11-21 03:24 6.3K 
[   ]raku-inline-perl5-doc-0.60_git20250327-r1.apk2025-08-29 08:22 6.3K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 19:01 6.3K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-12 04:50 6.3K 
[   ]dnote-doc-0.16.0-r0.apk2025-12-10 18:29 6.3K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 19:02 6.3K 
[   ]libvalkey-tls-0.2.1-r0.apk2025-11-17 11:56 6.3K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 19:01 6.3K 
[   ]schismtracker-doc-20251014-r0.apk2025-10-24 23:51 6.3K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 18:59 6.3K 
[   ]php82-pecl-uploadprogress-2.0.2-r2.apk2025-10-24 14:48 6.3K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 19:02 6.3K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 18:59 6.4K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 19:02 6.4K 
[   ]perl-http-xsheaders-doc-0.400005-r2.apk2025-06-30 08:08 6.4K 
[   ]serialdv-1.1.5-r0.apk2025-10-24 20:31 6.4K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 19:02 6.4K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-25 19:02 6.4K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 19:02 6.4K 
[   ]perl-test-kwalitee-1.28-r0.apk2025-07-12 13:43 6.4K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 19:02 6.4K 
[   ]perl-b-hooks-op-check-0.22-r1.apk2025-06-30 08:08 6.4K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 19:02 6.4K 
[   ]rss-email-doc-0.5.1-r0.apk2025-08-10 11:21 6.4K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 19:01 6.4K 
[   ]perl-indirect-doc-0.39-r2.apk2025-06-30 08:08 6.4K 
[   ]perl-test-perl-critic-doc-1.04-r0.apk2025-07-11 16:50 6.4K 
[   ]perl-data-section-0.200008-r0.apk2025-07-07 17:02 6.4K 
[   ]ghostty-bash-completion-1.2.3_git20251118-r1.apk2025-12-14 22:09 6.4K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 19:01 6.4K 
[   ]json2tsv-1.2-r0.apk2024-10-25 19:01 6.4K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 19:02 6.4K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 18:17 6.4K 
[   ]php81-sysvshm-8.1.34-r0.apk2025-12-17 02:27 6.4K 
[   ]finger-0.5-r0.apk2024-10-25 19:00 6.4K 
[   ]php82-sysvshm-8.2.30-r1.apk2025-12-17 20:10 6.5K 
[   ]certbot-dns-hetzner-pyc-2.0.1-r1.apk2025-10-06 11:36 6.5K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-15 21:26 6.5K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 18:59 6.5K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:47 6.5K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-13 21:31 6.5K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 19:03 6.5K 
[   ]lsix-1.8.2-r0.apk2024-10-25 19:01 6.5K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 19:01 6.5K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 19:02 6.5K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 19:01 6.5K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 19:01 6.5K 
[   ]perl-time-timegm-0.01-r10.apk2025-06-30 08:08 6.5K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-22 14:39 6.5K 
[   ]py3-uc-micro-py-1.0.3-r0.apk2025-10-27 08:46 6.5K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 19:02 6.5K 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 19:04 6.6K 
[   ]perl-syntax-operator-equ-doc-0.10-r1.apk2025-06-30 08:08 6.6K 
[   ]xcape-1.2-r1.apk2025-05-14 18:16 6.6K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 18:59 6.6K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 19:02 6.6K 
[   ]rocm-core-dev-6.4.3-r0.apk2025-08-18 11:32 6.6K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 18:59 6.6K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 19:02 6.6K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2024-10-25 19:01 6.6K 
[   ]perl-devel-leak-0.03-r14.apk2025-06-30 08:08 6.6K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 19:02 6.6K 
[   ]lspmux-doc-0.3.0-r0.apk2025-11-07 17:28 6.6K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 19:00 6.6K 
[   ]ruby-path_expander-2.0.0-r0.apk2025-12-15 18:35 6.6K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 19:02 6.6K 
[   ]qtmir-dev-0.7.2_git20250407-r5.apk2025-12-18 14:24 6.6K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 18:59 6.6K 
[   ]melange-bash-completion-0.37.0-r0.apk2025-12-16 15:10 6.6K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 19:02 6.6K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 09:13 6.7K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 19:02 6.7K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 19:02 6.7K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 18:59 6.7K 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 19:00 6.7K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 19:02 6.7K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 22:59 6.7K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 16:47 6.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 19:02 6.7K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-14 18:16 6.7K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 19:02 6.7K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 19:02 6.7K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 18:59 6.7K 
[   ]gmsh-py-4.15.0-r0.apk2025-11-01 00:21 6.7K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 06:07 6.8K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 19:04 6.8K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 19:02 6.8K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 19:02 6.8K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-14 17:12 6.8K 
[   ]kompose-zsh-completion-1.31.2-r15.apk2025-12-04 22:48 6.8K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 19:02 6.8K 
[   ]perl-string-crc32-2.100-r5.apk2025-06-30 08:08 6.8K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 17:54 6.8K 
[   ]networkmanager-dmenu-doc-2.6.1-r1.apk2025-10-13 16:13 6.8K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 19:02 6.8K 
[   ]saait-0.8-r0.apk2024-10-25 19:03 6.8K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 16:03 6.8K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 19:02 6.8K 
[   ]perl-test-perl-critic-1.04-r0.apk2025-07-11 16:50 6.8K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 19:02 6.8K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 19:03 6.8K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 18:59 6.9K 
[   ]n30f-2.0-r3.apk2024-10-25 19:02 6.9K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 19:02 6.9K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 19:02 6.9K 
[   ]pixi-doc-0.24.2-r0.apk2024-10-25 19:02 6.9K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 19:02 6.9K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 19:02 6.9K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 19:02 6.9K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 19:02 6.9K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 19:01 6.9K 
[   ]perl-test-kwalitee-doc-1.28-r0.apk2025-07-12 13:43 6.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 19:00 6.9K 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 19:03 6.9K 
[   ]game-devices-udev-0.25-r0.apk2025-10-23 05:17 6.9K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 18:59 6.9K 
[   ]perl-xml-tokeparser-doc-0.05-r0.apk2025-12-13 07:45 6.9K 
[   ]java-asmtools-doc-8.0.09-r0.apk2024-10-25 19:01 6.9K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 19:02 6.9K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 19:02 6.9K 
[   ]spvm-math-doc-1.006-r1.apk2025-06-30 08:08 6.9K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 19:02 7.0K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 19:02 7.0K 
[   ]xload-1.1.4-r0.apk2024-10-25 19:04 7.0K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 19:02 7.0K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-17 12:51 7.0K 
[   ]php82-pecl-lzf-1.7.0-r0.apk2025-10-24 14:48 7.0K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 19:02 7.0K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 19:00 7.0K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 19:02 7.0K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 19:02 7.0K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 19:02 7.0K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 19:02 7.0K 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 19:02 7.0K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 19:02 7.0K 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 19:01 7.0K 
[   ]oils-for-unix-doc-0.35.0-r0.apk2025-09-28 22:29 7.0K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 19:03 7.0K 
[   ]bat-extras-batpipe-2024.08.24-r0.apk2025-10-12 20:47 7.0K 
[   ]upterm-doc-0.17.0-r1.apk2025-12-04 22:49 7.0K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 19:02 7.0K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 19:02 7.1K 
[   ]tiptop-doc-2.3.1-r2.apk2024-10-25 19:03 7.1K 
[   ]spacectl-fish-completion-1.12.0-r6.apk2025-12-04 22:48 7.1K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 19:02 7.1K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 19:02 7.1K 
[   ]py3-pytest-datadir-1.8.0-r0.apk2025-08-05 12:51 7.1K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 18:59 7.1K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:13 7.1K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 18:59 7.1K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 19:02 7.1K 
[   ]perl-full-1.004-r0.apk2024-10-25 19:02 7.1K 
[   ]perl-string-compare-constanttime-0.321-r7.apk2025-06-30 08:08 7.1K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 19:02 7.1K 
[   ]perl-xml-descent-doc-1.04-r0.apk2025-12-13 07:45 7.1K 
[   ]cliquer-1.23-r0.apk2025-08-12 04:15 7.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 19:02 7.1K 
[   ]libandroidfw-dev-0_git20251004-r0.apk2025-10-06 21:54 7.1K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 19:02 7.1K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 19:02 7.2K 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-10-25 19:02 7.2K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 19:02 7.2K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 19:02 7.2K 
[   ]litterbox-doc-1.9-r2.apk2025-09-12 22:22 7.2K 
[   ]pptpclient-doc-1.10.0-r6.apk2025-06-30 08:08 7.2K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 19:02 7.2K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 19:02 7.2K 
[   ]sydbox-vim-3.45.2-r0.apk2025-12-03 02:46 7.2K 
[   ]py3-prctl-pyc-1.8.1-r0.apk2025-10-20 13:50 7.2K 
[   ]ovos-phal-pyc-0.2.10-r0.apk2025-07-15 19:29 7.2K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 18:59 7.3K 
[   ]aggregate6-1.0.14-r0.apk2025-10-14 11:05 7.3K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 18:59 7.3K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 19:02 7.3K 
[   ]svt-vp9-dev-0.3.1-r0.apk2025-12-20 22:51 7.3K 
[   ]queercat-1.0.0-r0.apk2024-10-25 19:02 7.3K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 19:01 7.3K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 19:02 7.3K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 19:02 7.3K 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 19:01 7.3K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 19:02 7.3K 
[   ]php81-sysvmsg-8.1.34-r0.apk2025-12-17 02:27 7.3K 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 19:02 7.3K 
[   ]flightgear-zsh-completion-2024.1.1-r0.apk2025-03-05 00:17 7.3K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 19:01 7.3K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 19:02 7.3K 
[   ]bat-extras-batgrep-2024.08.24-r0.apk2025-10-12 20:47 7.3K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 04:32 7.4K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 19:02 7.4K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-21 16:21 7.4K 
[   ]perl-astro-pal-doc-1.09-r0.apk2025-07-21 08:41 7.4K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 08:45 7.4K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 19:02 7.4K 
[   ]rocm-core-6.4.3-r0.apk2025-08-18 11:32 7.4K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 19:02 7.4K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 19:02 7.4K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 19:02 7.4K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 19:02 7.4K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-21 16:21 7.4K 
[   ]git2json-0.2.3-r8.apk2024-10-25 19:01 7.4K 
[   ]ufw-docker-250710-r0.apk2025-09-14 07:34 7.4K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 19:02 7.4K 
[   ]perl-xml-descent-1.04-r0.apk2025-12-13 07:45 7.5K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 19:02 7.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 19:02 7.5K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 18:59 7.5K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 19:02 7.5K 
[   ]cliquer-dev-1.23-r0.apk2025-08-12 04:15 7.5K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 19:02 7.5K 
[   ]cargo-udeps-doc-0.1.59-r0.apk2025-10-03 01:20 7.5K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-25 19:02 7.5K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-04-26 08:13 7.5K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 19:02 7.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 19:03 7.5K 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 18:59 7.5K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 19:02 7.5K 
[   ]perl-web-scraper-0.38-r0.apk2025-07-11 16:50 7.5K 
[   ]ffms2-dev-5.0-r2.apk2025-09-27 14:27 7.5K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 19:02 7.5K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 19:02 7.5K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-05 23:30 7.5K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 19:02 7.5K 
[   ]py3-himitsu-pyc-0.0.9-r0.apk2025-08-25 10:57 7.5K 
[   ]php82-sysvmsg-8.2.30-r1.apk2025-12-17 20:10 7.6K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 19:02 7.6K 
[   ]harminv-1.4.2-r1.apk2024-10-25 19:01 7.6K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 19:02 7.6K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-04-26 08:13 7.6K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 19:02 7.6K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 19:02 7.6K 
[   ]libibumad-dev-1.3.10.2-r3.apk2024-10-25 19:01 7.6K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 19:00 7.6K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 19:02 7.6K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 19:02 7.6K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 19:02 7.6K 
[   ]gmic-dev-3.6.0-r1.apk2025-10-25 09:58 7.6K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 19:02 7.6K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 19:02 7.6K 
[   ]cdba-1.0-r2.apk2024-10-25 18:59 7.6K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 19:02 7.6K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 19:02 7.6K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 19:01 7.7K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 19:02 7.7K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 19:02 7.7K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 19:02 7.7K 
[   ]linux-gpib-dev-4.3.7-r0.apk2025-12-06 23:09 7.7K 
[   ]perl-signature-attribute-checked-0.06-r1.apk2025-06-30 08:08 7.7K 
[   ]perl-math-random-isaac-xs-1.004-r9.apk2025-06-30 08:08 7.7K 
[   ]cargo-geiger-doc-0.13.0-r0.apk2025-10-10 17:31 7.7K 
[   ]mat2-doc-0.13.5-r0.apk2025-09-16 19:00 7.7K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 18:59 7.7K 
[   ]lld-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 7.7K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 18:59 7.7K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-15 14:08 7.7K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 19:02 7.7K 
[   ]p910nd-0.97-r2.apk2024-10-25 19:02 7.7K 
[   ]haxe-doc-4.3.3-r1.apk2025-03-22 14:35 7.7K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 19:02 7.8K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 19:03 7.8K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-13 21:31 7.8K 
[   ]php81-pecl-maxminddb-1.13.0-r0.apk2025-11-21 04:18 7.8K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 19:02 7.8K 
[   ]php82-pecl-maxminddb-1.13.0-r0.apk2025-11-21 04:18 7.8K 
[   ]perl-html-treebuilder-xpath-0.14-r0.apk2025-07-10 05:38 7.8K 
[   ]amiitool-2-r2.apk2024-10-25 18:59 7.8K 
[   ]php82-pspell-8.2.30-r1.apk2025-12-17 20:10 7.8K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 19:02 7.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 19:02 7.8K 
[   ]fungw-dev-1.2.2-r0.apk2025-09-19 18:26 7.8K 
[   ]runst-doc-0.2.0-r0.apk2025-11-14 23:27 7.8K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 08:45 7.8K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 19:02 7.8K 
[   ]perl-syntax-operator-equ-0.10-r1.apk2025-06-30 08:08 7.8K 
[   ]php81-pspell-8.1.34-r0.apk2025-12-17 02:27 7.8K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-14 17:12 7.8K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-04-03 00:11 7.8K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 16:03 7.8K 
[   ]py3-flask-httpauth-4.8.0-r3.apk2025-11-29 11:38 7.8K 
[   ]perl-syntax-keyword-match-doc-0.15-r1.apk2025-06-30 08:08 7.8K 
[   ]lomiri-libusermetrics-dev-1.4.0-r0.apk2025-12-09 11:10 7.9K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 19:02 7.9K 
[   ]microsocks-1.0.5-r0.apk2025-12-21 23:53 7.9K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 19:02 7.9K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 19:02 7.9K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 18:59 7.9K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 18:59 7.9K 
[   ]mint-x-icons-doc-1.7.5-r0.apk2025-12-01 06:05 7.9K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 05:59 7.9K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:51 7.9K 
[   ]mm-1.4.2-r1.apk2024-10-25 19:02 7.9K 
[   ]py3-pcbnewtransition-0.5.2-r0.apk2025-07-12 15:20 7.9K 
[   ]perl-xml-tokeparser-0.05-r0.apk2025-12-13 07:45 7.9K 
[   ]care-doc-2.3.0-r1.apk2024-10-25 18:59 7.9K 
[   ]perl-future-asyncawait-hooks-0.02-r1.apk2025-06-30 08:08 7.9K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 19:02 7.9K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 19:02 7.9K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 19:01 7.9K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 19:01 7.9K 
[   ]pure-doc-1.23.0-r0.apk2025-10-20 13:50 7.9K 
[   ]libcotp-3.1.1-r0.apk2025-10-04 11:04 7.9K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 19:02 7.9K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 19:02 7.9K 
[   ]perl-bsd-resource-doc-1.2911-r11.apk2025-06-30 08:08 7.9K 
[   ]cargo-shuttle-zsh-completion-0.56.6-r0.apk2025-07-29 07:56 7.9K 
[   ]py3-maidenhead-1.8.0-r0.apk2025-08-10 21:47 7.9K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 19:03 7.9K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 19:02 8.0K 
[   ]py3-maidenhead-pyc-1.8.0-r0.apk2025-08-10 21:47 8.0K 
[   ]timoni-bash-completion-0.23.0-r10.apk2025-12-04 22:48 8.0K 
[   ]logc-0.5.0-r1.apk2025-06-13 14:12 8.0K 
[   ]hyperrogue-doc-13.1i-r0.apk2025-12-19 03:52 8.0K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 19:02 8.0K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 19:36 8.0K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 19:03 8.0K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 18:59 8.0K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 19:02 8.0K 
[   ]nfoview-doc-2.1-r0.apk2025-04-13 21:31 8.0K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 19:02 8.0K 
[   ]libirecovery-progs-1.3.0-r0.apk2025-10-06 17:37 8.0K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 19:02 8.0K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 19:01 8.0K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 19:03 8.0K 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 19:02 8.0K 
[   ]hiprompt-gtk-py-0.8.0-r1.apk2025-09-17 10:03 8.0K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 19:02 8.1K 
[   ]petitboot-doc-1.15-r0.apk2025-11-14 23:27 8.1K 
[   ]py3-ly-doc-0.9.9-r0.apk2025-07-12 21:56 8.1K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-15 21:26 8.1K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 19:02 8.1K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 19:02 8.1K 
[   ]perl-web-scraper-doc-0.38-r0.apk2025-07-11 16:50 8.1K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 22:59 8.1K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 19:02 8.1K 
[   ]perl-netaddr-mac-doc-0.99-r0.apk2025-12-21 07:46 8.1K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 19:03 8.1K 
[   ]flowd-dev-0.9.1-r11.apk2025-06-30 08:08 8.1K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 19:01 8.1K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 19:03 8.1K 
[   ]exabgp-doc-4.2.24-r1.apk2025-09-06 16:20 8.1K 
[   ]stw-0.3-r0.apk2024-10-25 19:03 8.1K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 19:02 8.1K 
[   ]perl-list-binarysearch-xs-doc-0.09-r2.apk2025-06-30 08:08 8.1K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 19:01 8.2K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 18:59 8.2K 
[   ]pinentry-bemenu-0.14.0-r1.apk2025-07-06 00:17 8.2K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 19:03 8.2K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 19:04 8.2K 
[   ]py3-ovos-ocp-rss-plugin-0.1.1-r0.apk2025-07-15 20:03 8.2K 
[   ]ruby-dry-inflector-1.2.0-r0.apk2025-10-21 04:16 8.2K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 10:55 8.2K 
[   ]perl-object-pad-fieldattr-checked-0.12-r1.apk2025-06-30 08:08 8.2K 
[   ]serie-doc-0.5.5-r0.apk2025-12-10 01:26 8.2K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 19:02 8.2K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 19:02 8.2K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 19:02 8.2K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 18:59 8.2K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 19:02 8.2K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 19:04 8.2K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 19:03 8.3K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 19:02 8.3K 
[   ]perl-guard-1.023-r10.apk2025-06-30 08:08 8.3K 
[   ]disfetch-3.7-r0.apk2024-10-25 18:59 8.3K 
[   ]php82-enchant-8.2.30-r1.apk2025-12-17 20:10 8.3K 
[   ]clang-next-rtlib-atomic-22.0.0_pre20251108-r0.apk2025-11-09 09:38 8.3K 
[   ]php81-enchant-8.1.34-r0.apk2025-12-17 02:27 8.3K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-21 16:21 8.3K 
[   ]agrep-0.8.0-r2.apk2024-10-25 18:59 8.3K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 19:02 8.3K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 19:02 8.3K 
[   ]mod_dnssd-0.6-r1.apk2025-08-09 16:28 8.3K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 19:02 8.3K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 19:02 8.3K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:27 8.4K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 19:03 8.4K 
[   ]kmscon-doc-9.1.0-r1.apk2025-10-30 09:26 8.4K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 18:59 8.4K 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 19:01 8.4K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 19:02 8.4K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 19:02 8.4K 
[   ]fxload-2008.10.13-r0.apk2025-12-06 23:09 8.4K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 19:02 8.4K 
[   ]fungw-c-1.2.2-r0.apk2025-09-19 18:26 8.4K 
[   ]fcitx5-mozc-lang-2.32.5994.102.20251109-r0.apk2025-11-14 21:57 8.5K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-19 15:30 8.5K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 19:02 8.5K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 19:02 8.5K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 19:02 8.5K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 19:01 8.5K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 19:03 8.5K 
[   ]perl-data-checks-doc-0.11-r0.apk2025-11-26 08:24 8.5K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 19:02 8.5K 
[   ]cargo-update-doc-18.0.0-r0.apk2025-10-28 07:26 8.5K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 15:13 8.5K 
[   ]perl-smart-comments-doc-1.06-r0.apk2025-07-07 17:02 8.5K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 19:03 8.5K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 19:02 8.5K 
[   ]pounce-doc-3.1-r4.apk2025-09-12 22:22 8.5K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 19:02 8.5K 
[   ]formiko-doc-1.5.0-r0.apk2025-08-15 09:06 8.5K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 04:10 8.6K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-21 16:21 8.6K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 19:02 8.6K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 14:12 8.6K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 19:02 8.6K 
[   ]certbot-dns-pdns-0.1.1-r1.apk2025-08-28 01:20 8.6K 
[   ]py3-prefixed-pyc-0.9.0-r0.apk2025-08-28 20:04 8.6K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 06:07 8.6K 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 19:03 8.6K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 19:02 8.6K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 19:02 8.6K 
[   ]buf-bash-completion-1.59.0-r1.apk2025-12-04 22:48 8.6K 
[   ]winetricks-bash-completion-20250102-r0.apk2025-02-05 22:22 8.6K 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-13 21:40 8.6K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 19:01 8.6K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 19:02 8.6K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 18:59 8.7K 
[   ]thermald-doc-2.15.10-r0.apk2025-10-23 08:12 8.7K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 19:00 8.7K 
[   ]quodlibet-doc-4.7.1-r0.apk2025-09-16 18:53 8.7K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 18:59 8.7K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 18:59 8.7K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:13 8.7K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 19:02 8.7K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 19:02 8.7K 
[   ]rsstail-2.2-r0.apk2025-02-22 14:39 8.7K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-21 16:21 8.7K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 19:02 8.7K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 19:02 8.7K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 19:02 8.7K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 19:02 8.7K 
[   ]reredirect-0.3-r0.apk2024-10-25 19:03 8.7K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 19:02 8.8K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 19:01 8.8K 
[   ]perl-promise-xs-doc-0.20-r2.apk2025-06-30 08:08 8.8K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 19:02 8.8K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 19:01 8.8K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 19:02 8.8K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 19:01 8.8K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 19:03 8.8K 
[   ]perl-net-async-redis-xs-1.001-r2.apk2025-06-30 08:08 8.8K 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 19:02 8.8K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 19:02 8.8K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk2025-07-15 20:03 8.8K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 19:02 8.8K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 19:02 8.8K 
[   ]ipp-usb-doc-0.9.30-r5.apk2025-12-04 22:48 8.8K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 19:02 8.8K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 19:02 8.8K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 18:59 8.8K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 19:03 8.8K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 19:03 8.8K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 19:02 8.9K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 19:01 8.9K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 19:03 8.9K 
[   ]lolcat-1.4-r0.apk2024-10-25 19:01 8.9K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 19:02 8.9K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 19:01 8.9K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 19:02 8.9K 
[   ]perl-string-escape-2010.002-r0.apk2025-04-03 00:11 8.9K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk2025-07-15 20:03 8.9K 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 19:03 8.9K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk2025-07-15 20:03 8.9K 
[   ]lua5.1-ubus-2025.10.17-r0.apk2025-10-25 12:57 8.9K 
[   ]lua5.2-ubus-2025.10.17-r0.apk2025-10-25 12:57 8.9K 
[   ]perl-autobox-doc-3.0.2-r1.apk2025-06-30 08:08 8.9K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 19:01 8.9K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 19:02 8.9K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 19:02 9.0K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 19:01 9.0K 
[   ]ruby-hashdiff-1.2.1-r0.apk2025-10-21 04:18 9.0K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 19:01 9.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 19:02 9.0K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 19:02 9.0K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-13 21:31 9.0K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 19:02 9.0K 
[   ]fff-doc-2.2-r0.apk2024-10-25 19:00 9.0K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 19:01 9.0K 
[   ]terminology-doc-1.14.0-r0.apk2025-05-27 22:49 9.0K 
[   ]cargo-shuttle-doc-0.56.6-r0.apk2025-07-29 07:56 9.0K 
[   ]pspp-doc-2.0.1-r1.apk2025-10-06 11:28 9.0K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 19:01 9.0K 
[   ]afetch-2.2.0-r1.apk2024-10-25 18:59 9.0K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 19:02 9.0K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 19:02 9.0K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 19:02 9.0K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 19:01 9.0K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 19:01 9.0K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 19:01 9.0K 
[   ]yoe-kiosk-browser-0_git20231118-r0.apk2024-10-25 19:04 9.0K 
[   ]cargo-shuttle-fish-completion-0.56.6-r0.apk2025-07-29 07:56 9.0K 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 08:45 9.1K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 19:02 9.1K 
[   ]perl-digest-crc-0.24-r2.apk2025-06-30 08:08 9.1K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 19:01 9.1K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 11:29 9.1K 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 19:00 9.1K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 19:02 9.1K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 19:02 9.1K 
[   ]perl-musicbrainz-discid-0.06-r2.apk2025-06-30 08:08 9.1K 
[   ]endlessh-1.1-r1.apk2025-05-25 07:49 9.1K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 19:02 9.1K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 19:02 9.1K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 07:58 9.1K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 19:01 9.1K 
[   ]click-dev-0.5.2-r4.apk2025-02-22 14:38 9.1K 
[   ]lomiri-trust-store-dev-2.0.2-r14.apk2025-12-18 14:24 9.1K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 17:17 9.1K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 19:02 9.2K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 19:02 9.2K 
[   ]hurl-doc-7.1.0-r0.apk2025-12-05 18:41 9.2K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 19:02 9.2K 
[   ]perl-file-find-object-0.3.9-r0.apk2025-07-11 16:50 9.2K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 19:02 9.2K 
[   ]py3-pytest-textual-snapshot-1.1.0-r0.apk2025-08-08 14:20 9.2K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 19:02 9.2K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 19:02 9.2K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 19:02 9.2K 
[   ]libuecc-7-r4.apk2025-03-03 16:06 9.2K 
[   ]php82-pecl-pcov-1.0.12-r0.apk2025-10-24 14:48 9.2K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 19:02 9.2K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 19:02 9.2K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 19:02 9.2K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 18:59 9.2K 
[   ]cm256cc-1.1.1-r1.apk2025-02-08 23:48 9.3K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 19:02 9.3K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 19:01 9.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 19:02 9.3K 
[   ]nautilus-python-4.0.1-r0.apk2025-10-12 21:54 9.3K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 19:03 9.3K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:05 9.3K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 19:02 9.3K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 19:02 9.3K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 19:02 9.3K 
[   ]buildbot-badges-4.3.0-r0.apk2025-08-25 13:20 9.3K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 12:00 9.3K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 20:18 9.3K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 19:02 9.3K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 19:03 9.4K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 19:03 9.4K 
[   ]py3-confusable-homoglyphs-pyc-3.3.1-r0.apk2025-09-26 12:58 9.4K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 19:02 9.4K 
[   ]perl-syntax-operator-in-0.10-r1.apk2025-06-30 08:08 9.4K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 19:02 9.4K 
[   ]brltty-doc-6.7-r1.apk2025-04-03 00:11 9.4K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 19:02 9.4K 
[   ]zot-doc-2.1.8-r2.apk2025-12-04 22:49 9.4K 
[   ]wiremix-doc-0.7.0-r0.apk2025-08-22 12:01 9.4K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 19:02 9.4K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 19:04 9.4K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 19:02 9.4K 
[   ]extrace-0.9-r0.apk2024-10-25 19:00 9.4K 
[   ]visidata-zsh-completion-3.3-r0.apk2025-09-15 13:56 9.4K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 18:59 9.4K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 11:50 9.4K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 10:55 9.4K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 18:59 9.4K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 19:02 9.4K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-17 17:29 9.5K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-21 16:21 9.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 19:02 9.5K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 05:59 9.5K 
[   ]wl-screenrec-doc-0.1.7-r1.apk2025-08-27 16:40 9.5K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 19:02 9.5K 
[   ]perl-b-utils-doc-0.27-r1.apk2025-06-30 08:08 9.5K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 19:02 9.5K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 19:02 9.5K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 19:02 9.5K 
[   ]lomiri-indicator-network-dev-1.1.2-r0.apk2025-09-08 01:00 9.5K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 19:01 9.5K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:44 9.6K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 19:01 9.6K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 19:02 9.6K 
[   ]noice-0.8-r1.apk2024-10-25 19:02 9.6K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 19:02 9.6K 
[   ]perl-minion-backend-pg-11.0-r0.apk2025-08-27 09:14 9.6K 
[   ]perl-data-clone-0.006-r1.apk2025-06-30 08:08 9.6K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 19:03 9.6K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 19:02 9.6K 
[   ]php82-pecl-uploadprogress-doc-2.0.2-r2.apk2025-10-24 14:48 9.6K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 18:59 9.6K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 19:02 9.6K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 18:59 9.6K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 19:01 9.6K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 19:02 9.6K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 18:59 9.6K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 19:02 9.7K 
[   ]php82-bz2-8.2.30-r1.apk2025-12-17 20:10 9.7K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:32 9.7K 
[   ]rygel-doc-45.0-r1.apk2025-11-18 18:30 9.7K 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 19:01 9.7K 
[   ]libsds-2.0.0-r1.apk2024-10-25 19:01 9.7K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 19:02 9.7K 
[   ]php81-bz2-8.1.34-r0.apk2025-12-17 02:27 9.7K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 19:02 9.7K 
[   ]lurk-doc-0.3.10-r0.apk2025-06-25 07:40 9.7K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 16:30 9.7K 
[   ]py3-itunespy-1.6-r5.apk2025-05-14 18:16 9.7K 
[   ]uclient-fetch-20241022-r0.apk2025-05-30 05:47 9.7K 
[   ]netsed-1.4-r0.apk2025-08-18 10:15 9.8K 
[   ]tree-sitter-git-diff-0_git20230730-r1.apk2025-07-25 22:58 9.8K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r1.apk2025-08-09 16:28 9.8K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 16:30 9.8K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 19:02 9.8K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-21 16:21 9.8K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 19:00 9.8K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 19:02 9.8K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 19:02 9.8K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 19:03 9.8K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 19:02 9.8K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 16:34 9.8K 
[   ]perl-freezethaw-0.5001-r3.apk2025-10-18 10:32 9.8K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 19:02 9.8K 
[   ]perl-ref-util-xs-0.117-r9.apk2025-06-30 08:08 9.8K 
[   ]opkg-0.7.0-r0.apk2024-10-25 19:02 9.8K 
[   ]pneink-theme-1.3-r0.apk2025-07-30 00:21 9.8K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 19:02 9.8K 
[   ]py3-pcbnewtransition-pyc-0.5.2-r0.apk2025-07-12 15:20 9.9K 
[   ]fatrace-0.18.0-r0.apk2025-07-27 19:28 9.9K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 19:02 9.9K 
[   ]msr-tools-1.3-r1.apk2024-10-25 19:02 9.9K 
[   ]cgo-0.6.1-r1.apk2024-10-25 18:59 9.9K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 19:02 9.9K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 18:59 9.9K 
[   ]pick-4.0.0-r0.apk2024-10-25 19:02 9.9K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 19:01 9.9K 
[   ]evolution-on-3.24.4-r1.apk2025-09-18 17:36 9.9K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 19:02 9.9K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 19:02 9.9K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 19:02 9.9K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 19:02 9.9K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 19:02 9.9K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 09:13 9.9K 
[   ]perl-netaddr-mac-0.99-r0.apk2025-12-21 07:46 10K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 19:02 10K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 19:02 10K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 18:59 10K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 19:02 10K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 19:02 10K 
[   ]cargo-show-asm-doc-0.2.53-r0.apk2025-10-30 00:23 10K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 19:04 10K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 19:04 10K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 19:02 10K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 19:02 10K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 10K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 19:02 10K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 20:04 10K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk2025-07-15 16:49 10K 
[   ]perl-xs-object-magic-0.05-r0.apk2025-12-13 07:45 10K 
[   ]minikube-bash-completion-1.34.0-r10.apk2025-12-04 22:48 10K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-10-25 19:02 10K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-13 21:31 10K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 19:02 10K 
[   ]flowd-doc-0.9.1-r11.apk2025-06-30 08:08 10K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 18:59 10K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 19:02 10K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 11:50 10K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 19:02 10K 
[   ]dsp-doc-2.0-r2.apk2025-09-27 14:27 10K 
[   ]cobang-lang-1.8.1-r0.apk2025-10-23 05:17 10K 
[   ]certbot-dns-hetzner-2.0.1-r1.apk2025-10-06 11:36 10K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:02 10K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:02 10K 
[   ]knative-client-bash-completion-1.19.6-r1.apk2025-12-04 22:48 10K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:02 10K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 19:01 10K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-11 11:19 10K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 19:02 10K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 19:02 10K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 19:02 10K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 19:02 10K 
[   ]lwan-dev-0.1-r2.apk2024-10-25 19:01 10K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-10 03:12 10K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 19:02 10K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-24 21:28 10K 
[   ]linuxkit-doc-1.8.2-r1.apk2025-12-04 22:48 10K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 19:01 10K 
[   ]kumactl-bash-completion-2.10.1-r6.apk2025-12-04 22:48 10K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 19:02 10K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 19:02 10K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 19:01 10K 
[   ]proot-doc-5.4.0-r1.apk2024-10-25 19:02 10K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 18:59 10K 
[   ]py3-tls_parser-2.0.2-r0.apk2025-07-14 03:41 10K 
[   ]perl-math-libm-1.00-r15.apk2025-06-30 08:08 10K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 19:02 10K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-21 16:21 10K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 19:02 10K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 19:02 10K 
[   ]neko-dev-2.3.0-r0.apk2024-11-21 02:45 10K 
[   ]svt-hevc-dev-1.5.1-r2.apk2024-10-25 19:03 10K 
[   ]lomiri-schemas-0.1.9-r0.apk2025-10-01 17:03 10K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 05:42 10K 
[   ]perl-clipboard-0.32-r1.apk2025-10-13 16:13 10K 
[   ]tick-1.2.3-r0.apk2025-10-06 06:21 10K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:13 10K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 19:02 10K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-10 00:53 10K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-10-25 19:02 10K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 19:02 10K 
[   ]arj-doc-0_git20220125-r1.apk2024-10-25 18:59 10K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 19:02 10K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 19:02 10K 
[   ]ovos-phal-0.2.10-r0.apk2025-07-15 19:29 10K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 19:02 11K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 19:02 11K 
[   ]py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk2025-08-08 14:20 11K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 19:02 11K 
[   ]perl-math-int64-doc-0.57-r2.apk2025-06-30 08:08 11K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 19:02 11K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 19:02 11K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 19:02 11K 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 16:21 11K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 19:04 11K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 19:02 11K 
[   ]py3-flask-httpauth-pyc-4.8.0-r3.apk2025-11-29 11:38 11K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 19:02 11K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 19:02 11K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 19:01 11K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 16:34 11K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 19:02 11K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 19:02 11K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 10:45 11K 
[   ]libdng-0.2.1-r0.apk2024-12-27 22:09 11K 
[   ]comrak-doc-0.49.0-r0.apk2025-12-14 22:31 11K 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 19:02 11K 
[   ]php81-posix-8.1.34-r0.apk2025-12-17 02:27 11K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 19:02 11K 
[   ]hare-irc-0.25.2.0-r0.apk2025-06-25 07:24 11K 
[   ]toapk-1.0-r0.apk2024-10-25 19:03 11K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 19:02 11K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-10 03:12 11K 
[   ]php82-posix-8.2.30-r1.apk2025-12-17 20:10 11K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 19:02 11K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 23:00 11K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 19:02 11K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 19:02 11K 
[   ]perl-wanted-doc-0.1.0-r0.apk2025-08-09 16:28 11K 
[   ]snapper-dev-0.13.0-r0.apk2025-09-16 18:45 11K 
[   ]fff-2.2-r0.apk2024-10-25 19:00 11K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 11:29 11K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 19:02 11K 
[   ]espeakup-0.90-r2.apk2024-10-25 19:00 11K 
[   ]perl-net-amqp-rabbitmq-doc-2.40014-r1.apk2025-11-21 04:18 11K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 10:23 11K 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2024-10-25 19:01 11K 
[   ]toss-1.1-r1.apk2025-05-29 12:00 11K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-12 13:59 11K 
[   ]scenefx-dev-0.4.1-r0.apk2025-12-20 23:13 11K 
[   ]chasquid-doc-1.17.0-r0.apk2025-12-19 15:56 11K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-25 23:52 11K 
[   ]py3-simple-websocket-1.1.0-r0.apk2025-09-06 16:20 11K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-14 00:10 11K 
[   ]libetebase-dev-0.5.8-r0.apk2025-09-27 19:40 11K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:27 11K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 19:02 11K 
[   ]php82-tokenizer-8.2.30-r1.apk2025-12-17 20:10 11K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:24 11K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 19:02 11K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 19:02 11K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 19:03 11K 
[   ]py3-ovos-phal-plugin-system-1.3.3-r0.apk2025-07-15 16:49 11K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 19:02 11K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 19:02 11K 
[   ]screenkey-doc-1.5-r7.apk2025-07-22 22:16 11K 
[   ]ptylie-0.2-r2.apk2025-05-14 00:10 11K 
[   ]libtsm-dev-4.1.0-r0.apk2025-07-08 06:35 11K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 10:59 11K 
[   ]php81-tokenizer-8.1.34-r0.apk2025-12-17 02:27 11K 
[   ]perl-xml-xpathengine-doc-0.14-r0.apk2025-07-08 16:54 11K 
[   ]py3-ovos-ocp-news-plugin-0.1.1-r0.apk2025-07-15 20:03 11K 
[   ]neard-dev-0.19-r0.apk2024-10-25 19:02 11K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 19:04 11K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 19:02 11K 
[   ]lomiri-history-service-dev-0.6-r20.apk2025-12-19 11:40 11K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-11 11:19 11K 
[   ]lem-common-2.2.0-r0.apk2024-10-25 19:01 11K 
[   ]py3-vdf-3.4-r2.apk2025-10-16 23:45 11K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-14 18:16 11K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 19:02 11K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 19:02 11K 
[   ]php81-xmlwriter-8.1.34-r0.apk2025-12-17 02:27 11K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 19:02 11K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 19:02 11K 
[   ]lomiri-content-hub-dev-2.2.1-r0.apk2025-12-09 11:10 11K 
[   ]php82-xmlwriter-8.2.30-r1.apk2025-12-17 20:10 11K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:32 11K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 19:02 11K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 20:42 11K 
[   ]roll-doc-2.6.1-r1.apk2025-10-13 16:13 11K 
[   ]termbox-1.1.2-r1.apk2024-10-25 19:03 11K 
[   ]perl-math-random-doc-0.75-r0.apk2025-10-01 04:49 11K 
[   ]pwvucontrol-lang-0.5.0-r0.apk2025-10-20 21:45 11K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 19:02 11K 
[   ]buildbot-grid-view-4.3.0-r0.apk2025-08-25 13:20 11K 
[   ]spvm-thread-0.003-r1.apk2025-06-30 08:08 11K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 19:01 11K 
[   ]py3-sphinxcontrib-phpdomain-0.13.0-r0.apk2025-07-12 16:07 11K 
[   ]minikube-zsh-completion-1.34.0-r10.apk2025-12-04 22:48 11K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-21 16:21 11K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 19:01 11K 
[   ]py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk2024-10-25 19:02 11K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 18:59 11K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 17:54 11K 
[   ]lrcalc-2.1-r1.apk2024-10-25 19:01 11K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 19:02 11K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 19:01 11K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 19:02 11K 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 08:45 11K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 12:48 11K 
[   ]dislocker-0.7.3-r6.apk2025-07-19 22:52 11K 
[   ]perl-set-infinite-doc-0.65-r0.apk2025-07-21 08:41 11K 
[   ]perl-xml-bare-doc-0.53-r14.apk2025-06-30 08:08 11K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 19:02 11K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 05:42 11K 
[   ]py3-ovos-ww-plugin-vosk-0.1.7-r0.apk2025-07-15 19:29 11K 
[   ]perl-x11-korgwm-doc-5.0-r0.apk2025-12-13 07:45 11K 
[   ]py3-pygelbooru-pyc-1.0.0-r0.apk2025-07-30 04:28 11K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 19:01 12K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 19:03 12K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 09:42 12K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 19:01 12K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 19:02 12K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 18:59 12K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 19:02 12K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 20:18 12K 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 19:01 12K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 18:59 12K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 20:24 12K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-29 16:00 12K 
[   ]octoprint-filecheck-pyc-2024.11.12-r0.apk2025-07-12 16:07 12K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 19:02 12K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 19:02 12K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:13 12K 
[   ]nuzzle-1.6-r0.apk2025-01-17 15:06 12K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 19:02 12K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 16:00 12K 
[   ]php82-pdo_dblib-8.2.30-r1.apk2025-12-17 20:10 12K 
[   ]stgit-fish-completion-2.4.7-r1.apk2024-10-25 19:03 12K 
[   ]php81-pdo_dblib-8.1.34-r0.apk2025-12-17 02:27 12K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 19:02 12K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 19:02 12K 
[   ]py3-virtualenvwrapper-pyc-6.1.1-r1.apk2025-12-18 11:03 12K 
[   ]py3-rofi-pyc-1.0.1-r1.apk2025-08-09 16:28 12K 
[   ]perl-algorithm-permute-0.17-r1.apk2025-06-30 08:08 12K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 19:02 12K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 19:03 12K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 19:02 12K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 19:02 12K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 19:03 12K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 19:02 12K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 19:01 12K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 19:02 12K 
[   ]py3-simber-0.2.6-r5.apk2025-05-14 18:16 12K 
[   ]qrcodegen-1.8.0-r0.apk2025-11-29 18:56 12K 
[   ]belcard-5.3.105-r0.apk2025-02-25 12:48 12K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 19:02 12K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:32 12K 
[   ]enjoy-0.3-r1.apk2024-10-25 19:00 12K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 19:01 12K 
[   ]mint-y-icons-doc-1.8.9-r0.apk2025-12-01 06:05 12K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 18:59 12K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 19:02 12K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 19:02 12K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 19:02 12K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 19:02 12K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-10 03:12 12K 
[   ]perl-list-binarysearch-xs-0.09-r2.apk2025-06-30 08:08 12K 
[   ]setroot-2.0.2-r1.apk2024-10-25 19:03 12K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 19:02 12K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 19:02 12K 
[   ]perl-crypt-blowfish-2.14-r1.apk2025-06-30 08:08 12K 
[   ]py3-sphinxcontrib-plantuml-0.30-r0.apk2025-05-10 03:12 12K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:54 12K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 20:49 12K 
[   ]php81-calendar-8.1.34-r0.apk2025-12-17 02:27 12K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 19:02 12K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 19:02 12K 
[   ]php82-pecl-xhprof-2.3.10-r0.apk2025-10-24 14:48 12K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:24 12K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-21 16:21 12K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-13 21:31 12K 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 19:01 12K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 13:36 12K 
[   ]tcpbench-3.00-r1.apk2025-09-12 22:22 12K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 19:02 12K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 19:03 12K 
[   ]php81-pdo_odbc-8.1.34-r0.apk2025-12-17 02:27 12K 
[   ]py3-evalidate-pyc-2.1.3-r0.apk2025-12-16 15:10 12K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 19:02 12K 
[   ]php82-calendar-8.2.30-r1.apk2025-12-17 20:10 12K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 19:02 12K 
[   ]py3-rofi-1.0.1-r1.apk2025-08-09 16:28 12K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 19:02 12K 
[   ]php82-pdo_sqlite-8.2.30-r1.apk2025-12-17 20:10 12K 
[   ]py3-wikipedia-1.4.0-r0.apk2025-09-14 07:34 12K 
[   ]php81-pdo_sqlite-8.1.34-r0.apk2025-12-17 02:27 12K 
[   ]py3-ovos-tts-plugin-piper-0.0.1-r0.apk2024-10-25 19:02 12K 
[   ]py3-iso639-lang-pyc-2.6.3-r0.apk2025-12-04 22:48 12K 
[   ]php81-pecl-opentelemetry-1.2.1-r0.apk2025-10-04 23:14 12K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 19:02 12K 
[   ]perl-smart-comments-1.06-r0.apk2025-07-07 17:02 12K 
[   ]py3-itemloaders-1.3.2-r1.apk2025-08-09 16:28 12K 
[   ]php82-pecl-opentelemetry-1.2.1-r0.apk2025-10-24 14:48 12K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 19:03 12K 
[   ]legume-doc-1.4.2-r14.apk2025-12-04 22:48 12K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 13:01 12K 
[   ]squeak-vm-doc-4.10.2.2614-r2.apk2025-10-09 12:30 12K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 19:00 12K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-22 14:39 12K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:57 12K 
[   ]perl-cpan-audit-doc-20250829.001-r0.apk2025-09-06 16:20 12K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 16:03 12K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 19:02 12K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 19:02 12K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 19:02 12K 
[   ]afew-doc-3.0.1-r0.apk2025-05-18 22:30 12K 
[   ]ssss-0.5.7-r0.apk2024-10-25 19:03 12K 
[   ]py3-queuelib-1.8.0-r0.apk2025-09-01 18:13 12K 
[   ]perl-ev-hiredis-0.07-r3.apk2025-06-30 08:08 12K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-25 19:03 12K 
[   ]py3-prctl-1.8.1-r0.apk2025-10-20 13:50 12K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 19:02 12K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 19:02 12K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 19:04 12K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 19:03 12K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 12K 
[   ]perl-nice-try-doc-1.3.17-r0.apk2025-07-19 17:52 13K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 19:03 13K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 19:01 13K 
[   ]roll-2.6.1-r1.apk2025-10-13 16:13 13K 
[   ]libnfcdef-1.0.1-r1.apk2025-08-09 16:27 13K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 19:04 13K 
[   ]py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk2025-07-15 19:29 13K 
[   ]php82-xsl-8.2.30-r1.apk2025-12-17 20:10 13K 
[   ]py3-discid-1.3.0-r0.apk2025-07-28 22:12 13K 
[   ]php81-xsl-8.1.34-r0.apk2025-12-17 02:27 13K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 19:02 13K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 19:02 13K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 19:01 13K 
[   ]emacs-llama-1.0.2-r0.apk2025-11-02 15:25 13K 
[   ]clatd-1.6-r0.apk2024-10-25 18:59 13K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 19:03 13K 
[   ]php81-pcntl-8.1.34-r0.apk2025-12-17 02:27 13K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 20:42 13K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 19:01 13K 
[   ]perl-barcode-zbar-doc-0.10-r4.apk2025-06-30 08:08 13K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 19:02 13K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-13 21:31 13K 
[   ]saait-doc-0.8-r0.apk2024-10-25 19:03 13K 
[   ]php82-pdo_odbc-8.2.30-r1.apk2025-12-17 20:10 13K 
[   ]tpp-bypass-0.8.4-r0.apk2024-10-25 19:03 13K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 19:02 13K 
[   ]gr-satellites-dev-5.5.0-r6.apk2025-10-12 12:09 13K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 19:02 13K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:51 13K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:27 13K 
[   ]php81-pdo_mysql-8.1.34-r0.apk2025-12-17 02:27 13K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:54 13K 
[   ]php82-pdo_mysql-8.2.30-r1.apk2025-12-17 20:10 13K 
[   ]mbpfan-2.4.0-r1.apk2024-10-25 19:02 13K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 19:02 13K 
[   ]py3-lsp-mypy-pyc-0.7.0-r1.apk2025-08-30 01:39 13K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 19:02 13K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 19:02 13K 
[   ]php81-xmlreader-8.1.34-r0.apk2025-12-17 02:27 13K 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 19:01 13K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 19:02 13K 
[   ]py3-lsp-mypy-0.7.0-r1.apk2025-08-30 01:39 13K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 19:02 13K 
[   ]perl-promise-me-doc-0.6.0-r0.apk2025-08-09 16:28 13K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 19:01 13K 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 19:03 13K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 19:01 13K 
[   ]tree-sitter-git-commit-0_git20211225-r4.apk2025-07-25 22:58 13K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 19:02 13K 
[   ]perl-xml-feed-doc-1.0.0-r0.apk2025-11-18 03:25 13K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 19:02 13K 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 11:40 13K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 19:02 13K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 19:02 13K 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 18:59 13K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 19:02 13K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 19:01 13K 
[   ]lychee-doc-0.19.1-r0.apk2025-06-17 07:59 13K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 08:58 13K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 19:02 13K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 19:02 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 19:01 13K 
[   ]usbtop-1.0-r0.apk2025-04-13 21:32 13K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 19:02 13K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 19:03 13K 
[   ]biometryd-dev-0.3.3-r0.apk2025-12-09 11:10 13K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 19:02 13K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-22 14:38 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 19:02 13K 
[   ]makeself-2.5.0-r0.apk2024-10-25 19:01 13K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 19:02 13K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 19:03 13K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 19:02 13K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 19:01 13K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 19:02 13K 
[   ]dam-0_git20250728-r0.apk2025-12-22 21:22 13K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 19:03 13K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-17 12:51 13K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 21:43 13K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 02:00 13K 
[   ]planarity-doc-4.0.1.0-r0.apk2025-10-19 05:35 13K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 13K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 19:02 13K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 19:02 13K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 13K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 18:59 13K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 19:02 13K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 19:02 13K 
[   ]php82-xmlreader-8.2.30-r1.apk2025-12-17 20:10 13K 
[   ]fungw-doc-1.2.2-r0.apk2025-09-19 18:26 13K 
[   ]py3-sphinxcontrib-spelling-8.0.1-r0.apk2025-07-13 21:43 13K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 19:02 13K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 09:33 13K 
[   ]darts-clone-dev-0.32h-r0.apk2025-07-06 07:09 13K 
[   ]mautrix-linkedin-doc-0.2512.0-r0.apk2025-12-16 18:25 13K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 19:02 13K 
[   ]perl-file-find-object-doc-0.3.9-r0.apk2025-07-11 16:50 13K 
[   ]mautrix-zulip-doc-0.2511.0-r1.apk2025-12-04 22:48 13K 
[   ]luksmeta-9-r0.apk2024-10-25 19:01 13K 
[   ]libecap-1.0.1-r1.apk2024-10-25 19:01 13K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 19:02 13K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 19:03 13K 
[   ]catfish-doc-4.20.1-r0.apk2025-07-07 19:17 13K 
[   ]perl-x11-xcb-doc-0.24-r0.apk2025-12-13 07:45 13K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 11:29 13K 
[   ]py3-litejesd204b-2024.04-r0.apk2024-10-25 19:02 13K 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 19:03 13K 
[   ]py3-flask-migrate-4.1.0-r0.apk2025-07-13 21:53 13K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 16:35 13K 
[   ]logtop-0.7-r1.apk2025-08-09 16:28 13K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:57 13K 
[   ]fungw-tcl-1.2.2-r0.apk2025-09-19 18:26 13K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 19:02 13K 
[   ]himitsu-keyring-0.2.0-r0.apk2024-10-25 19:01 13K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 19:01 13K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 19:02 13K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 19:02 13K 
[   ]convert2json-doc-2.4.1-r0.apk2025-12-15 16:18 13K 
[   ]lsdvd-0.17-r0.apk2024-10-25 19:01 13K 
[   ]perl-cpan-changes-0.500005-r0.apk2025-08-16 14:24 13K 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 21:41 13K 
[   ]cpp-httplib-doc-0.28.0-r0.apk2025-11-26 13:52 13K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 19:02 13K 
[   ]py3-discid-pyc-1.3.0-r0.apk2025-07-28 22:12 13K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-13 23:31 13K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 19:02 14K 
[   ]perl-cpan-audit-20250829.001-r0.apk2025-09-06 16:20 14K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 04:10 14K 
[   ]perl-syntax-keyword-match-0.15-r1.apk2025-06-30 08:08 14K 
[   ]bash-pinyin-completion-rs-doc-1.0.3-r0.apk2025-12-10 00:01 14K 
[   ]ufw-docker-doc-250710-r0.apk2025-09-14 07:34 14K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 18:59 14K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:44 14K 
[   ]bettercap-doc-2.41.5-r0.apk2025-12-16 01:52 14K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-13 21:31 14K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 07:58 14K 
[   ]py3-evalidate-2.1.3-r0.apk2025-12-16 15:10 14K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-16 04:03 14K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:51 14K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 23:00 14K 
[   ]xed-dev-3.8.4-r0.apk2025-10-23 07:55 14K 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 19:02 14K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-10 00:53 14K 
[   ]xfd-1.1.4-r0.apk2024-10-25 19:04 14K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 19:03 14K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 19:02 14K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 19:02 14K 
[   ]fungw-1.2.2-r0.apk2025-09-19 18:26 14K 
[   ]aoetools-doc-37-r2.apk2025-01-14 15:29 14K 
[   ]seaweedfs-doc-3.93-r4.apk2025-12-04 22:48 14K 
[   ]networkmanager-dmenu-2.6.1-r1.apk2025-10-13 16:13 14K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 19:02 14K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 11:50 14K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 19:01 14K 
[   ]perl-astro-doc-0.78-r0.apk2025-07-21 08:41 14K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-13 12:27 14K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 19:03 14K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 19:02 14K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 16:35 14K 
[   ]perl-html-gumbo-0.18-r2.apk2025-06-30 08:08 14K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 19:02 14K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 19:02 14K 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-04-30 22:48 14K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 19:02 14K 
[   ]tuptime-5.2.4-r2.apk2025-09-06 16:20 14K 
[   ]slurm-0.4.4-r0.apk2024-10-25 19:03 14K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 19:02 14K 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 19:03 14K 
[   ]rinetd-0.73-r0.apk2024-10-25 19:03 14K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-21 16:21 14K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 18:59 14K 
[   ]wmctrl-1.07-r1.apk2024-10-25 19:04 14K 
[   ]par-1.53.0-r1.apk2024-10-25 19:02 14K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 18:59 14K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 19:02 14K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 19:01 14K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 19:01 14K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 18:59 14K 
[   ]php82-pcntl-8.2.30-r1.apk2025-12-17 20:10 14K 
[   ]perl-snmp-doc-5.0404-r14.apk2025-06-30 08:08 14K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 19:02 14K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 19:01 14K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-14 18:16 14K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 19:03 14K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 19:03 14K 
[   ]logtop-libs-0.7-r1.apk2025-08-09 16:28 14K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 19:02 14K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 19:02 14K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 19:02 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 19:02 14K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 19:02 14K 
[   ]pam-pkcs11-doc-0.6.13-r1.apk2025-11-17 13:11 14K 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 19:04 14K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 12:05 14K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 19:02 14K 
[   ]dasht-2.4.0-r0.apk2024-10-25 18:59 14K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 19:02 14K 
[   ]php81-pecl-mcrypt-1.0.9-r0.apk2025-08-05 12:42 14K 
[   ]infnoise-0.3.3-r0.apk2025-05-26 08:58 14K 
[   ]ruby-minitest-autotest-1.2.0-r0.apk2025-12-15 18:35 14K 
[   ]php82-pecl-mcrypt-1.0.9-r0.apk2025-10-24 14:48 14K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 19:02 14K 
[   ]refind-doc-0.14.2-r0.apk2024-10-25 19:03 14K 
[   ]mint-themes-doc-2.3.2-r0.apk2025-11-14 21:57 14K 
[   ]php84-pecl-mcrypt-1.0.9-r0.apk2025-08-05 12:42 14K 
[   ]ttynvt-0.17-r0.apk2025-08-22 12:50 14K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-12 01:07 14K 
[   ]perl-xml-feed-1.0.0-r0.apk2025-11-18 03:25 14K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 19:02 14K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 19:02 14K 
[   ]php81-pecl-brotli-0.18.3-r0.apk2025-12-01 02:45 14K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-25 23:54 14K 
[   ]php82-pecl-brotli-0.18.3-r0.apk2025-12-01 02:45 14K 
[   ]xcompmgr-1.1.10-r0.apk2025-06-28 11:25 14K 
[   ]py3-prefixed-0.9.0-r0.apk2025-08-28 20:04 14K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 19:02 14K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 19:00 14K 
[   ]py3-unidns-0.0.4-r0.apk2025-11-03 08:48 14K 
[   ]aprilsh-doc-0.7.12-r10.apk2025-12-04 22:48 14K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 19:02 14K 
[   ]perl-list-keywords-0.11-r1.apk2025-06-30 08:08 14K 
[   ]py3-mistune1-0.8.4-r6.apk2025-11-09 18:54 14K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 19:02 14K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 11:56 14K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 19:26 14K 
[   ]hx-1.0.15-r0.apk2024-10-25 19:01 14K 
[   ]sandbar-0.2_git20250909-r0.apk2025-12-21 14:37 14K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 19:02 15K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 12:52 15K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:29 15K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:48 15K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 19:04 15K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-14 18:16 15K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 19:02 15K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 19:00 15K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 19:02 15K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 10:59 15K 
[   ]fungw-lua-1.2.2-r0.apk2025-09-19 18:26 15K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 19:02 15K 
[   ]kirc-0.3.3-r0.apk2025-02-22 15:08 15K 
[   ]libsimpleble-c-0.10.3-r0.apk2025-07-11 03:12 15K 
[   ]libresprite-doc-1.2-r0.apk2025-04-13 22:15 15K 
[   ]qmk-cli-1.2.0-r0.apk2025-11-29 12:10 15K 
[   ]sfizz-dev-1.2.3-r1.apk2025-10-02 15:30 15K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 06:37 15K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 19:01 15K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 19:02 15K 
[   ]godini-doc-1.0.0-r5.apk2025-12-04 22:48 15K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 19:02 15K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 19:02 15K 
[   ]kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk2024-10-25 19:01 15KKodi
[   ]sssd-dev-2.11.1-r2.apk2025-11-20 22:18 15K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-13 21:31 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 19:02 15K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 19:01 15K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 18:59 15K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 19:02 15K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 19:02 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 19:02 15K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 19:03 15K 
[   ]virter-doc-0.29.0-r5.apk2025-12-04 22:49 15K 
[   ]dropwatch-1.5.5-r2.apk2025-12-04 22:48 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 19:02 15K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 19:02 15K 
[   ]php82-pecl-vld-0.19.1-r0.apk2025-07-20 13:27 15K 
[   ]php83-pecl-vld-0.19.1-r0.apk2025-07-20 13:27 15K 
[   ]perl-indirect-0.39-r2.apk2025-06-30 08:08 15K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 19:02 15K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 19:02 15K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 19:02 15K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 12:00 15K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-17 04:04 15K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 19:02 15K 
[   ]geomyidae-0.34-r2.apk2024-10-25 19:01 15K 
[   ]readosm-1.1.0-r3.apk2025-05-14 00:10 15K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 19:02 15K 
[   ]cm256cc-dev-1.1.1-r1.apk2025-02-08 23:48 15K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 19:02 15K 
[   ]cmusfm-0.5.0-r1.apk2025-08-27 04:14 15K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 19:02 15K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 19:02 15K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 19:00 15K 
[   ]fuseiso-20070708-r0.apk2025-04-14 01:40 15K 
[   ]php85-pecl-vld-0.19.1-r1.apk2025-09-24 13:21 15K 
[   ]git-quick-stats-2.8.0-r0.apk2025-09-11 08:18 15K 
[   ]php84-pecl-vld-0.19.1-r0.apk2025-07-20 13:27 15K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 19:02 15K 
[   ]blip-0.10-r0.apk2024-10-25 18:59 15K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 19:02 15K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 19:02 15K 
[   ]spvm-mime-base64-1.003-r1.apk2025-06-30 08:08 15K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 19:02 15K 
[   ]tang-15-r0.apk2025-01-20 04:17 15K 
[   ]perl-dns-unbound-doc-0.29-r2.apk2025-06-30 08:08 15K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 19:02 15K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 19:02 15K 
[   ]ampy-1.1.0-r6.apk2025-03-21 16:20 15K 
[   ]codec2-dev-1.2.0-r1.apk2025-11-22 18:51 15K 
[   ]kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk2024-10-25 19:01 15KKodi
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 19:02 15K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 19:02 15K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 19:02 15K 
[   ]gsimplecal-2.5.2-r0.apk2025-10-16 18:48 15K 
[   ]miracle-wm-dev-0.8.2-r1.apk2025-12-18 14:24 15K 
[   ]libtatsu-1.0.5-r0.apk2025-10-06 17:37 16K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 19:04 16K 
[   ]bat-extras-doc-2024.08.24-r0.apk2025-10-12 20:47 16K 
[   ]gssdp-dev-1.6.4-r1.apk2025-08-09 16:27 16K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 19:00 16K 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 19:04 16K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 19:01 16K 
[   ]aide-doc-0.19.2-r0.apk2025-09-06 16:20 16K 
[   ]libibumad-1.3.10.2-r3.apk2024-10-25 19:01 16K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 19:01 16K 
[   ]py3-ciso8601-2.3.3-r0.apk2025-11-11 03:03 16K 
[   ]php81-pecl-vips-1.0.13-r0.apk2024-10-25 19:02 16K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 19:02 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 19:02 16K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-17 17:29 16K 
[   ]epr-2.4.15-r1.apk2024-10-25 19:00 16K 
[   ]stacker-doc-1.1.3-r1.apk2025-12-04 22:48 16K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 18:59 16K 
[   ]php82-pecl-vips-1.0.13-r0.apk2025-10-24 14:48 16K 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 18:59 16K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 19:02 16K 
[   ]sacc-1.07-r1.apk2025-09-12 22:22 16K 
[   ]himitsu-secret-service-0.1_git20250705-r1.apk2025-10-20 13:50 16K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 19:04 16K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 19:02 16K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 19:04 16K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 11:50 16K 
[   ]ol-dev-2.6-r0.apk2025-05-01 06:30 16K 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 19:00 16K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 19:02 16K 
[   ]paperkey-1.6-r2.apk2024-10-25 19:02 16K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 19:02 16K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-11 11:19 16K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 19:02 16K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 19:02 16K 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 18:59 16K 
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-10-25 18:59 16K 
[   ]baresip-dev-4.1.0-r0.apk2025-10-03 07:59 16K 
[   ]one-dnn-doc-3.1-r0.apk2024-10-25 19:02 16K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-10 03:12 16K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 14:12 16K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 19:04 16K 
[   ]kodi-game-libretro-theodore-0.0.1.32-r0.apk2024-10-25 19:01 16KKodi
[   ]please-doc-0.5.6-r0.apk2025-08-29 08:32 16K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 19:02 16K 
[   ]py3-pytest-regtest-2.3.5-r0.apk2025-10-12 13:32 16K 
[   ]py3-litescope-2024.04-r0.apk2024-10-25 19:02 16K 
[   ]predict-doc-2.3.1-r0.apk2024-11-24 10:45 16K 
[   ]fatback-doc-1.3-r2.apk2024-10-25 19:00 16K 
[   ]svt-vp9-0.3.1-r0.apk2025-12-20 22:51 16K 
[   ]fnf-0.1-r0.apk2024-10-25 19:01 16K 
[   ]php82-pecl-psr-1.2.0-r1.apk2025-10-24 14:48 16K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 19:03 16KUbuntu Linux
[   ]py3-markdownify-1.2.2-r0.apk2025-11-27 16:00 16K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:44 16K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-14 18:16 16K 
[   ]py3-wikipedia-pyc-1.4.0-r0.apk2025-09-14 07:34 16K 
[   ]mdp-1.0.18-r0.apk2025-07-10 05:38 16K 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 19:02 16K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 19:02 16K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 20:17 16K 
[   ]zpaq-doc-7.15-r0.apk2025-10-09 07:23 16K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 19:02 16K 
[   ]php81-pecl-zstd-0.15.2-r0.apk2025-09-09 20:06 16K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 19:02 16K 
[   ]php82-pecl-zstd-0.15.2-r0.apk2025-10-24 14:48 16K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 19:02 16K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 19:02 16K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 19:04 16K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 19:02 16K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 19:01 16K 
[   ]llmnrd-0.7-r1.apk2024-10-25 19:01 16K 
[   ]kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk2024-10-25 19:01 16KKodi
[   ]xmag-1.0.8-r0.apk2024-10-25 19:04 16K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 19:01 16K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 19:03 16K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 19:02 16K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 19:02 16K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 19:02 16K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 21:06 16K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-13 21:31 16K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 19:02 16K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 23:55 17K 
[   ]py3-itemloaders-pyc-1.3.2-r1.apk2025-08-09 16:28 17K 
[   ]xva-img-1.5-r0.apk2024-10-25 19:04 17K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 21:06 17K 
[   ]py3-vdf-pyc-3.4-r2.apk2025-10-16 23:45 17K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 19:04 17K 
[   ]shc-4.0.3-r2.apk2024-10-25 19:03 17K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 19:03 17K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 19:02 17K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 19:02 17K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 19:02 17K 
[   ]igt-gpu-tools-dev-2.3-r0.apk2025-12-19 07:45 17K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 19:03 17K 
[   ]perl-sql-abstract-more-doc-1.44-r0.apk2025-07-14 05:59 17K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 19:02 17K 
[   ]py3-trakit-pyc-0.2.5-r0.apk2025-11-24 01:06 17K 
[   ]raku-system-query-0.1.6-r1.apk2025-08-29 08:22 17K 
[   ]junit2html-31.0.2-r0.apk2024-10-25 19:01 17K 
[   ]kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk2024-10-25 19:01 17KKodi
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 19:02 17K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 19:02 17K 
[   ]libcpdf-dev-2.8.1-r0.apk2025-05-10 03:12 17K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 19:02 17K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 19:02 17K 
[   ]dmenu-wl-0.1-r0.apk2025-07-02 10:32 17K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 19:02 17K 
[   ]repo-2.60-r0.apk2025-12-12 19:49 17K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 19:01 17K 
[   ]git-bug-doc-0.8.1-r6.apk2025-12-04 22:48 17K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 19:01 17K 
[   ]hping3-doc-20051105-r4.apk2024-10-25 19:01 17K 
[   ]lomiri-download-manager-dev-0.3.0-r0.apk2025-12-09 11:10 17K 
[   ]llvm-next-libunwind-22.0.0_pre20251108-r0.apk2025-11-09 09:38 17K 
[   ]xf86-video-vboxvideo-1.0.0-r1.apk2024-10-25 19:04 17K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 19:00 17K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 19:04 17K 
[   ]stgit-bash-completion-2.4.7-r1.apk2024-10-25 19:03 17K 
[   ]identities-0.2.2-r0.apk2025-10-18 15:40 17K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 19:02 17K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 19:01 17K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 14:55 17K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 19:02 17K 
[   ]spvm-errno-0.093-r1.apk2025-06-30 08:08 17K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 19:02 17K 
[   ]py3-tls_parser-pyc-2.0.2-r0.apk2025-07-14 03:41 17K 
[   ]php81-iconv-8.1.34-r0.apk2025-12-17 02:27 17K 
[   ]epic6-doc-0_git20250821-r0.apk2025-09-06 16:20 17K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk2025-07-12 16:07 17K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 12:36 17K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 19:02 17K 
[   ]arif-0.3.0-r0.apk2025-11-30 10:11 17K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 19:03 17K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 11:07 17K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 18:59 17K 
[   ]perl-data-dump-streamer-doc-2.42-r1.apk2025-06-30 08:08 17K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 19:02 17K 
[   ]php81-bcmath-8.1.34-r0.apk2025-12-17 02:27 17K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 18:59 17K 
[   ]boson-0_git20211219-r0.apk2024-10-25 18:59 17K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 19:00 17K 
[   ]php82-bcmath-8.2.30-r1.apk2025-12-17 20:10 17K 
[   ]py3-litesdcard-2024.04-r0.apk2024-10-25 19:02 17K 
[   ]yamkix-0.14.0-r0.apk2025-12-22 19:55 17K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 19:02 17K 
[   ]php82-pecl-decimal-1.5.0-r1.apk2025-10-24 14:48 17K 
[   ]py3-yara-4.5.4-r0.apk2025-08-13 15:32 17K 
[   ]py3-minikerberos-examples-0.4.9-r0.apk2025-11-03 08:48 17K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 06:36 17K 
[   ]libdbusaccess-1.0.20-r1.apk2025-08-09 16:27 17K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 19:02 17K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 19:02 17K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 19:02 17K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 19:01 17K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 18:59 17K 
[   ]libemf2svg-utils-1.1.0-r3.apk2025-10-06 11:28 17K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 18:59 17K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 18:59 17K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 19:02 17K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-22 14:39 17K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 19:02 17K 
[   ]kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk2024-10-25 19:01 18KKodi
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 19:02 18K 
[   ]libeantic-dev-2.1.0-r2.apk2025-11-26 08:24 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 06:37 18K 
[   ]uclient-20241022-r0.apk2025-05-30 05:47 18K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 19:02 18K 
[   ]qrcodegen-dev-1.8.0-r0.apk2025-11-29 18:56 18K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 19:02 18K 
[   ]node-libpg-query-13.1.2-r5.apk2024-10-25 19:02 18K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 19:02 18K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-04-30 22:48 18K 
[   ]php82-iconv-8.2.30-r1.apk2025-12-17 20:10 18K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 19:02 18K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 19:03 18K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 19:01 18K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 19:01 18K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 05:42 18K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 19:02 18K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 19:03 18K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 19:01 18K 
[   ]fungw-mujs-1.2.2-r0.apk2025-09-19 18:26 18K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 19:01 18K 
[   ]atool-0.39.0-r4.apk2024-10-25 18:59 18K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 19:02 18K 
[   ]wsmancli-2.8.0-r0.apk2025-07-14 14:34 18K 
[   ]py3-cython-test-exception-raiser-25.11.0-r0.apk2025-11-11 19:23 18K 
[   ]abnfgen-0.21-r0.apk2025-05-27 21:26 18K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 19:04 18K 
[   ]droidcam-2.1.3-r3.apk2025-09-27 14:27 18K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 19:02 18K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 19:02 18K 
[   ]siril-doc-1.2.6-r5.apk2025-09-27 21:15 18K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 19:03 18K 
[   ]php81-tidy-8.1.34-r0.apk2025-12-17 02:27 18K 
[   ]persistent-cache-cpp-dev-1.0.9-r0.apk2025-09-08 01:00 18K 
[   ]perl-datetime-set-doc-0.3900-r0.apk2025-07-21 08:41 18K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 19:02 18K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 19:01 18K 
[   ]php81-pecl-yaml-2.3.0-r0.apk2025-11-14 21:57 18K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 19:01 18K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 16:35 18K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 19:02 18K 
[   ]hatop-0.8.2-r0.apk2024-10-25 19:01 18K 
[   ]php82-pecl-yaml-2.3.0-r0.apk2025-11-14 21:57 18K 
[   ]mstflint-doc-4.26.0.1-r0.apk2024-10-25 19:02 18K 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 18:59 18K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 18:59 18K 
[   ]py3-flake8-isort-7.0.0-r0.apk2025-10-25 20:13 18K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 19:02 18K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 19:02 18K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 18:17 18K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 06:37 18K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 19:02 18K 
[   ]perl-bsd-resource-1.2911-r11.apk2025-06-30 08:08 18K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 07:50 18K 
[   ]mobpass-0.2-r6.apk2024-10-25 19:02 18K 
[   ]freealut-1.1.0-r1.apk2024-10-25 19:01 18K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 13:36 18K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:49 18K 
[   ]fungw-duktape-1.2.2-r0.apk2025-09-19 18:26 18K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 16:16 18K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 19:02 18K 
[   ]budgie-desktop-dev-10.9.2-r0.apk2025-10-16 17:07 18K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 19:03 18K 
[   ]bootterm-0.5-r0.apk2024-10-25 18:59 18K 
[   ]ldapdomaindump-0.10.0-r0.apk2025-07-30 19:18 18K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 19:02 18K 
[   ]py3-markdownify-pyc-1.2.2-r0.apk2025-11-27 16:00 18K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-14 18:16 18K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 19:01 18K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 19:02 18K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-14 21:16 18K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 09:42 18K 
[   ]pure-1.23.0-r0.apk2025-10-20 13:50 18K 
[   ]php82-tidy-8.2.30-r1.apk2025-12-17 20:10 18K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 19:02 18K 
[   ]perl-cpan-changes-doc-0.500005-r0.apk2025-08-16 14:24 18K 
[   ]py3-flask-socketio-5.5.1-r0.apk2025-09-06 16:20 18K 
[   ]wayqt-dev-0.3.0-r1.apk2025-08-21 07:47 18K 
[   ]py3-msldap-examples-0.5.15-r2.apk2025-10-17 16:26 18K 
[   ]cowsay-3.04-r2.apk2024-10-25 18:59 18K 
[   ]visidata-doc-3.3-r0.apk2025-09-15 13:56 18K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 19:03 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 19:01 18K 
[   ]py3-flask-migrate-pyc-4.1.0-r0.apk2025-07-13 21:53 18K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 19:03 18K 
[   ]php81-xml-8.1.34-r0.apk2025-12-17 02:27 18K 
[   ]php82-xml-8.2.30-r1.apk2025-12-17 20:10 18K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 19:02 18K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 19:02 19K 
[   ]perl-autobox-3.0.2-r1.apk2025-06-30 08:08 19K 
[   ]py3-spin-0.8-r0.apk2024-10-25 19:02 19K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 23:55 19K 
[   ]php81-pdo_pgsql-8.1.34-r0.apk2025-12-17 02:27 19K 
[   ]lld-next-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 19K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 06:43 19K 
[   ]py3-svgpath-7.0-r0.apk2025-07-08 06:37 19K 
[   ]py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk2025-05-10 03:12 19K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 19:02 19K 
[   ]php82-pdo_pgsql-8.2.30-r1.apk2025-12-17 20:10 19K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 19:02 19K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 19:01 19K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 19:02 19K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 19:01 19K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 19:02 19K 
[   ]py3-enzyme-pyc-0.5.2-r0.apk2025-07-12 15:29 19K 
[   ]py3-evohome-client-0.3.9-r0.apk2025-07-13 21:43 19K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-21 16:21 19K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 19:03 19K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk2025-07-13 21:43 19K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 19:02 19K 
[   ]snapraid-doc-13.0-r0.apk2025-11-01 00:21 19K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 19:00 19K 
[   ]perl-b-utils-0.27-r1.apk2025-06-30 08:08 19K 
[   ]moe-doc-1.14-r0.apk2024-10-25 19:02 19K 
[   ]adjtimex-1.29-r0.apk2024-10-25 18:59 19K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-17 17:29 19K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 19:02 19K 
[   ]py3-pathvalidate-3.3.1-r0.apk2025-07-12 15:21 19K 
[   ]musikcube-dev-3.0.5-r0.apk2025-09-27 21:15 19K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 19:01 19K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-21 16:20 19K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 16:35 19K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 19:02 19K 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 19:02 19K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 19:02 19K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 19:01 19K 
[   ]onioncat-doc-4.11.0-r1.apk2024-10-25 19:02 19K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 19:02 19K 
[   ]py3-trakit-0.2.5-r0.apk2025-11-24 01:06 19K 
[   ]rizin-doc-0.8.1-r0.apk2025-06-30 16:49 19K 
[   ]php81-pecl-ast-1.1.3-r0.apk2025-08-10 17:43 19K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 19K 
[   ]evolution-etesync-lang-1.1.1-r0.apk2025-09-27 19:40 19K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 19K 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 19:03 19K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 19:01 19K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 19:02 19K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 19:03 19K 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 18:59 19K 
[   ]arif-doc-0.3.0-r0.apk2025-11-30 10:11 19K 
[   ]php82-pecl-ast-1.1.3-r0.apk2025-10-24 14:48 19K 
[   ]py3-schema-0.7.7-r0.apk2025-07-07 16:14 19K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 18:59 19K 
[   ]kodi-game-libretro-mgba-0.11.0.44-r0.apk2024-10-25 19:01 19KKodi
[   ]perl-http-xsheaders-0.400005-r2.apk2025-06-30 08:08 19K 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-21 16:20 19K 
[   ]libnxml-0.18.3-r0.apk2024-10-25 19:01 19K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 19:02 20K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 19:02 20K 
[   ]perl-wanted-0.1.0-r0.apk2025-08-09 16:28 20K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 19:01 20K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 19:02 20K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 19:01 20K 
[   ]hyfetch-doc-2.0.5-r0.apk2025-11-21 17:34 20K 
[   ]openspades-doc-0.1.3-r5.apk2024-10-25 19:02 20K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 19:02 20K 
[   ]isomd5sum-1.2.5-r0.apk2025-07-15 08:02 20K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 19:01 20K 
[   ]musikcube-plugin-stockencoders-3.0.5-r0.apk2025-09-27 21:15 20K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 19:02 20K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 19:02 20K 
[   ]kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk2024-10-25 19:01 20KKodi
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 19:02 20K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 19:02 20K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 19:02 20K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 19:02 20K 
[   ]iprange-1.0.4-r1.apk2024-10-25 19:01 20K 
[   ]kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk2024-10-25 19:01 20KKodi
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 19:02 20K 
[   ]vim-rust-305-r1.apk2025-08-21 07:55 20K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-21 16:21 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 19:02 20K 
[   ]libserialport-0.1.1-r1.apk2024-10-25 19:01 20K 
[   ]perl-datetime-set-0.3900-r0.apk2025-07-21 08:41 20K 
[   ]neko-doc-2.3.0-r0.apk2024-11-21 02:45 20K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 19:00 20K 
[   ]php81-sqlite3-8.1.34-r0.apk2025-12-17 02:27 20K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 18:59 20K 
[   ]xfce4-panel-profiles-doc-1.1.1-r1.apk2025-11-24 12:53 20K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 19:02 20K 
[   ]portsmf-dev-239-r2.apk2025-10-13 20:02 20K 
[   ]fcitx5-mozc-doc-2.32.5994.102.20251109-r0.apk2025-11-14 21:57 20K 
[   ]ydcv-0.7-r8.apk2024-10-25 19:04 20K 
[   ]php81-gmp-8.1.34-r0.apk2025-12-17 02:27 20K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 19:02 20K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 19:01 20K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 19:02 20K 
[   ]lomiri-app-launch-dev-0.1.12-r5.apk2025-12-18 14:24 20K 
[   ]php81-snmp-8.1.34-r0.apk2025-12-17 02:27 20K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 19:02 20K 
[   ]ruby-minitest-reporters-1.7.1-r0.apk2025-07-06 19:16 20K 
[   ]ddgr-2.2-r0.apk2024-10-25 18:59 20K 
[   ]php82-pecl-smbclient-1.2.0_pre-r0.apk2025-10-24 14:48 20K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 19:01 20K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 10:32 20K 
[   ]yamkix-pyc-0.14.0-r0.apk2025-12-22 19:55 20K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 19:02 20K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-14 00:10 20K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 19:02 20K 
[   ]lbb-doc-0.10.4-r1.apk2025-12-04 22:48 20K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 18:17 20K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 19:02 20K 
[   ]kcbench-doc-0.9.13-r0.apk2025-10-24 14:48 20K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 19:02 20K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 19:02 20K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 19:02 20K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 19:01 20K 
[   ]py3-pygelbooru-1.0.0-r0.apk2025-07-30 04:28 20K 
[   ]i2util-4.2.1-r1.apk2024-10-25 19:01 20K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 19:02 20K 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 19:03 20K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 12:00 20K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 19:02 20K 
[   ]eiwd-doc-3.10-r0.apk2025-10-07 11:53 21K 
[   ]py3-schema-pyc-0.7.7-r0.apk2025-07-07 16:14 21K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 19:02 21K 
[   ]zycore-1.5.0-r1.apk2025-07-11 04:50 21K 
[   ]tang-doc-15-r0.apk2025-01-20 04:17 21K 
[   ]cdba-server-1.0-r2.apk2024-10-25 18:59 21K 
[   ]py3-poetry-dynamic-versioning-1.9.1-r0.apk2025-07-26 00:18 21K 
[   ]perl-net-patricia-1.24-r0.apk2025-11-21 03:24 21K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 19:01 21K 
[   ]php82-gmp-8.2.30-r1.apk2025-12-17 20:10 21K 
[   ]libgrapheme-doc-2.0.2-r0.apk2025-07-22 22:16 21K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 08:45 21K 
[   ]hare-http-0.25.2.0-r1.apk2025-08-09 16:27 21K 
[   ]py3-pypandoc-1.15-r0.apk2025-02-22 14:39 21K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 19:02 21K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 18:59 21K 
[   ]llvm-next-libunwind-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 21K 
[   ]musikcube-plugin-mpris-3.0.5-r0.apk2025-09-27 21:15 21K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 19:02 21K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 18:59 21K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 08:45 21K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 19:02 21K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 19:01 21K 
[   ]minimodem-0.24-r1.apk2024-10-25 19:02 21K 
[   ]php82-snmp-8.2.30-r1.apk2025-12-17 20:10 21K 
[   ]kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk2024-10-25 19:01 21KKodi
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 19:02 21K 
[   ]surf-2.1-r3.apk2024-10-25 19:03 21K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 19:01 21K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 18:59 21K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 02:04 21K 
[   ]perl-flowd-0.9.1-r11.apk2025-06-30 08:08 21K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 11:07 21K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-12 01:07 21K 
[   ]lomiri-indicator-location-25.4.22-r1.apk2025-11-17 13:11 21K 
[   ]php82-sqlite3-8.2.30-r1.apk2025-12-17 20:10 21K 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 16:21 21K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 19:02 21K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 19:02 21K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 19:03 21K 
[   ]libtatsu-dev-1.0.5-r0.apk2025-10-06 17:37 21K 
[   ]py3-logtop-0.7-r1.apk2025-08-09 16:28 21K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 18:59 21K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 19:03 21K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 19:02 21K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-11 11:19 21K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-21 16:21 21K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 19:02 21K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 19:02 21K 
[   ]nitro-init-0.6-r0.apk2025-12-16 23:50 21K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 19:02 21K 
[   ]xdg-native-messaging-proxy-0.1.0-r0.apk2025-11-27 14:22 21K 
[   ]libspatialindex-dev-2.1.0-r1.apk2025-11-10 05:46 21K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 19:01 21K 
[   ]aoetools-37-r2.apk2025-01-14 15:29 21K 
[   ]py3-mistune1-pyc-0.8.4-r6.apk2025-11-09 18:54 21K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 19:02 21K 
[   ]cups-pdf-3.0.2-r0.apk2025-07-04 21:11 22K 
[   ]varnish-modules-doc-0.26.0-r0.apk2025-07-06 19:16 22K 
[   ]mbrola-3.3-r0.apk2024-10-25 19:02 22K 
[   ]py3-mando-0.8.2-r0.apk2025-12-18 11:03 22K 
[   ]lkrg-doc-0.9.6-r1.apk2025-01-09 11:44 22K 
[   ]xmp-4.2.0-r0.apk2024-10-25 19:04 22K 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 19:03 22K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 19:01 22K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 19:02 22K 
[   ]py3-virtualenvwrapper-6.1.1-r1.apk2025-12-18 11:03 22K 
[   ]argocd-bash-completion-3.2.0-r1.apk2025-12-04 22:48 22K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 19:04 22K 
[   ]perl-xml-xpathengine-0.14-r0.apk2025-07-08 16:54 22K 
[   ]gummiboot-efistub-48.1-r11.apk2025-07-20 21:07 22K 
[   ]py3-aiowinreg-0.0.13-r0.apk2025-11-03 08:48 22K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 16:03 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 19:02 22K 
[   ]spvm-math-1.006-r1.apk2025-06-30 08:08 22K 
[   ]perl-net-idn-encode-doc-2.500-r2.apk2025-06-30 08:08 22K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 19:02 22K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 19:02 22K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 16:00 22K 
[   ]php81-simplexml-8.1.34-r0.apk2025-12-17 02:27 22K 
[   ]php81-dba-8.1.34-r0.apk2025-12-17 02:27 22K 
[   ]php82-simplexml-8.2.30-r1.apk2025-12-17 20:10 22K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-21 16:21 22K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 19:02 22K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 19:02 22K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-25 23:58 22K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 19:03 22K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 19:02 22K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 19:02 22K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 00:46 22K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 19:02 22K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 19:03 22K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 19:02 22K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 06:37 22K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 19:02 22K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 19:02 22K 
[   ]perl-data-checks-0.11-r0.apk2025-11-26 08:24 22K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 19:02 22K 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 10:09 22K 
[   ]php81-pecl-mailparse-3.1.9-r0.apk2025-09-30 12:38 22K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 19:03 22K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 19:02 22K 
[   ]php82-pecl-mailparse-3.1.9-r0.apk2025-10-24 14:48 23K 
[   ]php81-ftp-8.1.34-r0.apk2025-12-17 02:27 23K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 17:48 23K 
[   ]planarity-4.0.1.0-r0.apk2025-10-19 05:35 23K 
[   ]perl-dns-unbound-0.29-r2.apk2025-06-30 08:08 23K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 19:02 23K 
[   ]php81-odbc-8.1.34-r0.apk2025-12-17 02:27 23K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 19:00 23K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 21:46 23K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 19:01 23K 
[   ]py3-enzyme-0.5.2-r0.apk2025-07-12 15:29 23K 
[   ]kodi-game-libretro-nestopia-1.52.0.41-r0.apk2024-10-25 19:01 23KKodi
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-14 18:16 23K 
[   ]py3-simple-websocket-pyc-1.1.0-r0.apk2025-09-06 16:20 23K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 19:02 23K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 19:00 23K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:27 23K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 18:59 23K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 19:02 23K 
[   ]php82-dba-8.2.30-r1.apk2025-12-17 20:10 23K 
[   ]php82-ftp-8.2.30-r1.apk2025-12-17 20:10 23K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 19:01 23K 
[   ]perl-set-infinite-0.65-r0.apk2025-07-21 08:41 23K 
[   ]opa-doc-1.6.0-r4.apk2025-12-04 22:48 23K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 19:01 23K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 19:03 23K 
[   ]gperftools-2.17-r0.apk2025-08-05 13:00 23K 
[   ]qmk-cli-pyc-1.2.0-r0.apk2025-11-29 12:10 23K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 14:55 23K 
[   ]py3-pypandoc-pyc-1.15-r0.apk2025-02-22 14:39 23K 
[   ]py3-flask-socketio-doc-5.5.1-r0.apk2025-09-06 16:20 23K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 19:02 23K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 19:02 23K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 19:02 23K 
[   ]candyboot-0.1.0-r0.apk2025-06-11 12:34 23K 
[   ]planarity-dev-4.0.1.0-r0.apk2025-10-19 05:35 23K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 19:01 23K 
[   ]clevis-doc-21-r0.apk2025-01-20 04:17 23K 
[   ]py3-cstruct-6.1-r0.apk2025-08-21 23:06 23K 
[   ]tree-sitter-clojure-0.0.13-r0.apk2025-07-23 11:59 23K 
[   ]perl-promise-xs-0.20-r2.apk2025-06-30 08:08 23K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 08:16 23K 
[   ]libibumad-doc-1.3.10.2-r3.apk2024-10-25 19:01 23K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 19:04 23K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 19:02 23K 
[   ]pfetch-1.9.4-r0.apk2025-10-22 22:32 23K 
[   ]mcjoin-2.11-r0.apk2024-10-25 19:02 23K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 19:01 23K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 19:01 23K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 19:01 23K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r1.apk2025-11-03 16:16 23K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 19:01 23K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 19:02 23K 
[   ]pmccabe-2.8-r1.apk2024-10-25 19:02 23K 
[   ]buildbot-console-view-4.3.0-r0.apk2025-08-25 13:20 23K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 19:02 23K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 20:04 23K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 18:59 23K 
[   ]emacs-derl-0_git20231004-r1.apk2025-07-29 04:36 23K 
[   ]shipments-0.3.0-r0.apk2024-10-25 19:03 23K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:51 23K 
[   ]py3-webrtcvad-2.0.10-r1.apk2024-10-25 19:02 23K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-15 14:08 24K 
[   ]php82-odbc-8.2.30-r1.apk2025-12-17 20:10 24K 
[   ]cliquer-libs-1.23-r0.apk2025-08-12 04:15 24K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 21:23 24K 
[   ]perl-conf-libconfig-1.0.3-r2.apk2025-06-30 08:08 24K 
[   ]stgit-zsh-completion-2.4.7-r1.apk2024-10-25 19:03 24K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 19:02 24K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 19:01 24K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 19:02 24K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-14 18:16 24K 
[   ]mdnsd-0.12-r1.apk2024-10-25 19:02 24K 
[   ]makedumpfile-doc-1.7.8-r0.apk2025-11-07 17:28 24K 
[   ]brltty-static-6.7-r1.apk2025-04-03 00:11 24K 
[   ]py3-svgpath-pyc-7.0-r0.apk2025-07-08 06:37 24K 
[   ]fungw-cli-1.2.2-r0.apk2025-09-19 18:26 24K 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 13:55 24K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 19:02 24K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 19:02 24K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 19:02 24K 
[   ]malcontent-dev-0.13.1-r0.apk2025-09-03 21:08 24K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 19:02 24K 
[   ]cliquer-tests-1.23-r0.apk2025-08-12 04:15 24K 
[   ]mame-doc-0.251-r0.apk2024-10-25 19:02 24K 
[   ]criu-doc-3.19-r3.apk2025-06-12 13:59 24K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 19:02 24K 
[   ]libirecovery-1.3.0-r0.apk2025-10-06 17:37 24K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 19:02 24K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-10 03:12 24K 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 19:03 24K 
[   ]ntpd-rs-doc-1.6.2-r2.apk2025-12-18 19:45 24K 
[   ]py3-mopidy-spotify-5.0.0_alpha3-r0.apk2024-10-25 19:02 24K 
[   ]php81-zip-8.1.34-r0.apk2025-12-17 02:27 24K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 19:01 24K 
[   ]gupnp-dlna-dev-0.12.0-r1.apk2025-08-09 16:27 24K 
[   ]nfcd-dev-1.2.3-r1.apk2025-11-15 11:08 24K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 19:00 24K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 17:48 24K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:10 24K 
[   ]py3-queuelib-pyc-1.8.0-r0.apk2025-09-01 18:13 24K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 19:02 24K 
[   ]xed-python-3.8.4-r0.apk2025-10-23 07:55 24K 
[   ]font-siji-20190218_git-r2.apk2024-10-25 19:01 24K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 19:01 25K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 19:02 25K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 19:02 25K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 19:02 25K 
[   ]hare-madeline-0.1_git20240505-r1.apk2024-11-29 00:08 25K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 19:02 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 19:02 25K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 19:02 25K 
[   ]quickemu-doc-4.9.7-r0.apk2025-06-15 20:03 25K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 19:02 25K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 11:29 25K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 19:02 25K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 19:01 25K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 19:02 25K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-16 04:03 25K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 19:02 25K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 19:02 25K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 19:02 25K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 19:03 25K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 19:02 25K 
[   ]smplxmpp-doc-0.9.3-r5.apk2025-10-12 12:09 25K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 18:59 25K 
[   ]py3-libacl-0.7.3-r0.apk2025-10-16 23:45 25K 
[   ]ruby-ruby-progressbar-1.13.0-r0.apk2025-07-06 19:16 25K 
[   ]tnef-1.4.18-r0.apk2024-10-25 19:03 25K 
[   ]hilbish-doc-2.3.4-r10.apk2025-12-04 22:48 25K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 18:59 25K 
[   ]py3-unidns-pyc-0.0.4-r0.apk2025-11-03 08:48 25K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-10 03:12 25K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 19:02 25K 
[   ]wol-0.7.1-r3.apk2024-10-25 19:04 25K 
[   ]lshell-doc-0.9.18-r12.apk2025-09-06 16:20 25K 
[   ]llvm-next-libunwind-static-22.0.0_pre20251108-r0.apk2025-11-09 09:38 25K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 11:56 25K 
[   ]php81-common-8.1.34-r0.apk2025-12-17 02:27 25K 
[   ]libgrapheme-2.0.2-r0.apk2025-07-22 22:16 25K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 19:01 25K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 19:02 25K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 19:02 25K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 19:03 25K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 19:02 25K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 19:02 25K 
[   ]snapper-doc-0.13.0-r0.apk2025-09-16 18:45 25K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 19:02 25K 
[   ]php82-common-8.2.30-r1.apk2025-12-17 20:10 25K 
[   ]ip2location-8.6.1-r0.apk2024-10-25 19:01 25K 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:00 25K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 19:01 25K 
[   ]php81-sodium-8.1.34-r0.apk2025-12-17 02:27 25K 
[   ]fast-double-parser-0.8.1-r0.apk2025-10-20 10:31 25K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-04-03 00:11 25K 
[   ]sregex-0.0.1-r1.apk2024-10-25 19:03 25K 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 19:03 25K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 19:02 25K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-14 18:16 25K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-22 14:39 26K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 19:01 26K 
[   ]py3-croniter-pyc-6.0.0-r0.apk2025-08-25 09:05 26K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 19:03 26K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 19:02 26K 
[   ]py3-manuel-pyc-1.13.0-r1.apk2025-10-14 15:04 26K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 19:01 26K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 19:02 26K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 19:02 26K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 19:02 26K 
[   ]lomiri-location-service-lang-3.3.0-r5.apk2025-11-17 13:11 26K 
[   ]py3-croniter-6.0.0-r0.apk2025-08-25 09:05 26K 
[   ]py3-icalendar-searcher-pyc-1.0.3-r0.apk2025-12-07 19:14 26K 
[   ]ruby-ansi-1.5.0-r0.apk2025-07-06 19:16 26K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 19:02 26K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-10 03:12 26K 
[   ]py3-unoconv-0.9.0-r4.apk2025-05-14 00:10 26K 
[   ]py3-flask-socketio-pyc-5.5.1-r0.apk2025-09-06 16:20 26K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 19:02 26K 
[   ]contractor-0.3.5-r0.apk2024-11-12 21:55 26K 
[   ]kodi-game-libretro-snes9x-1.62.3.45-r0.apk2024-10-25 19:01 26KKodi
[   ]py3-milc-1.9.1-r0.apk2025-01-25 16:04 26K 
[   ]admesh-0.98.5-r0.apk2024-10-25 18:59 26K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 19:02 26K 
[   ]dvisvgm-doc-3.4.4-r0.apk2025-05-18 22:44 26K 
[   ]deviced-dev-0_git20250427-r0.apk2025-07-05 20:03 26K 
[   ]gtypist-lang-2.10.1-r0.apk2025-10-12 20:59 26K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 06:36 26K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 19:02 26K 
[   ]php82-sodium-8.2.30-r1.apk2025-12-17 20:10 26K 
[   ]libtsm-4.1.0-r0.apk2025-07-08 06:35 26K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 19:02 26K 
[   ]postgresql-hll-2.18-r0.apk2024-10-25 19:02 26K 
[   ]mirrorhall-0.1.1-r2.apk2025-08-09 16:28 26K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-06 23:03 26K 
[   ]php82-pecl-msgpack-3.0.0-r0.apk2025-10-24 14:48 26K 
[   ]3proxy-doc-0.9.5-r1.apk2025-11-17 13:11 26K 
[   ]py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk2025-07-26 00:18 26K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 18:59 26K 
[   ]py3-rtree-1.4.1-r0.apk2025-08-14 10:16 26K 
[   ]php82-pecl-ssh2-1.4.1-r0.apk2025-10-24 14:48 26K 
[   ]sfwbar-doc-1.0_beta161-r0.apk2025-07-31 13:29 27K 
[   ]perl-module-cpants-analyse-1.02-r0.apk2025-07-12 13:43 27K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 19:02 27K 
[   ]kanidm-bash-completion-1.8.5-r0.apk2025-12-13 18:49 27K 
[   ]php82-zip-8.2.30-r1.apk2025-12-17 20:10 27K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-19 16:39 27K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 18:59 27K 
[   ]nwg-displays-0.3.26-r0.apk2025-08-28 20:04 27K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 19:01 27K 
[   ]tre-0.8.0-r2.apk2024-10-25 19:03 27K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 19:02 27K 
[   ]fungw-python3-1.2.2-r0.apk2025-09-19 18:26 27K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 19:02 27K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 18:59 27K 
[   ]kodi-audioencoder-wav-20.2.0-r1.apk2024-10-25 19:01 27KKodi
[   ]dwl-0.7-r0.apk2024-10-25 19:00 27K 
[   ]musikcube-plugin-supereqdsp-3.0.5-r0.apk2025-09-27 21:15 27K 
[   ]droidcam-gui-2.1.3-r3.apk2025-09-27 14:27 27K 
[   ]py3-dunamai-1.25.0-r0.apk2025-07-25 23:16 27K 
[   ]perl-clipboard-doc-0.32-r1.apk2025-10-13 16:13 27K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 19:03 27K 
[   ]keepsecret-lang-1.0.0-r0.apk2025-12-15 16:22 27K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 12:00 27K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-04-03 00:11 27K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 18:59 27K 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 19:01 27K 
[   ]tayga-0.9.5-r0.apk2025-06-20 07:58 27K 
[   ]perl-promise-me-0.6.0-r0.apk2025-08-09 16:28 27K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 19:03 27K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 19:01 27K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:22 27K 
[   ]nm-tray-lang-0.5.1-r0.apk2025-09-11 17:35 27K 
[   ]lomiri-indicator-location-lang-25.4.22-r1.apk2025-11-17 13:11 27K 
[   ]py3-evohome-client-pyc-0.3.9-r0.apk2025-07-13 21:43 27K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 19:02 27K 
[   ]ruby-hoe-4.3.0-r0.apk2025-10-21 04:19 27K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 19:02 27K 
[   ]cliquer-static-1.23-r0.apk2025-08-12 04:15 27K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 19:01 27K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 19:02 27K 
[   ]ptouch-print-1.7-r0.apk2025-09-13 16:23 28K 
[   ]py3-furl-2.1.4-r0.apk2025-07-13 21:52 28K 
[   ]stgit-emacs-2.4.7-r1.apk2024-10-25 19:03 28K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-24 22:57 28K 
[   ]perl-sql-abstract-more-1.44-r0.apk2025-07-14 05:59 28K 
[   ]php83-pecl-eio-3.1.4-r0.apk2025-07-20 15:26 28K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 19:30 28K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 19:02 28K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 19:01 28K 
[   ]perl-math-int64-0.57-r2.apk2025-06-30 08:08 28K 
[   ]conserver-doc-8.2.7-r0.apk2025-12-18 19:45 28K 
[   ]lomiri-trust-store-lang-2.0.2-r14.apk2025-12-18 14:24 28K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 19:02 28K 
[   ]swappy-1.7.1-r0.apk2025-08-21 23:29 28K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 28K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 22:24 28K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 18:59 28K 
[   ]rvlprog-0.91-r2.apk2024-10-25 19:03 28K 
[   ]pounce-3.1-r4.apk2025-09-12 22:22 28K 
[   ]perl-nice-try-1.3.17-r0.apk2025-07-19 17:52 28K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 02:04 28K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 19:02 28K 
[   ]gsettings-qt-1.1.0-r0.apk2025-12-09 11:10 28K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 19:00 28K 
[   ]randrctl-1.10.0-r0.apk2024-11-17 21:22 28K 
[   ]simpleble-dev-0.10.3-r0.apk2025-07-11 03:12 28K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 19:00 28K 
[   ]ostui-doc-1.0.4-r0.apk2025-09-11 02:50 28K 
[   ]eclib-doc-20250627-r2.apk2025-11-26 08:24 28K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-10-25 19:03 28K 
[   ]octoprint-filecheck-2024.11.12-r0.apk2025-07-12 16:07 28K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 19:03 28K 
[   ]perl-xml-bare-0.53-r14.apk2025-06-30 08:08 28K 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 15:13 28K 
[   ]mimeo-2023-r2.apk2024-10-25 19:02 28K 
[   ]rtptools-1.22-r2.apk2024-10-25 19:03 28K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 19:02 28K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 19:02 28K 
[   ]smile-lang-2.11.0-r0.apk2025-12-21 11:40 28K 
[   ]fatback-1.3-r2.apk2024-10-25 19:00 28K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 19:01 28K 
[   ]perl-module-cpants-analyse-doc-1.02-r0.apk2025-07-12 13:43 28K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 14:50 28K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-21 16:21 28K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:29 28K 
[   ]rocm-cmake-6.4.3-r0.apk2025-08-18 11:32 28K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 19:04 29K 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 19:02 29K 
[   ]himitsu-secret-service-pyc-0.1_git20250705-r1.apk2025-10-20 13:50 29K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 19:02 29K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 18:59 29K 
[   ]gmic-bash-completion-3.6.0-r1.apk2025-10-25 09:58 29K 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 19:03 29K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 18:17 29K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 19:02 29K 
[   ]php82-pecl-luasandbox-4.1.2-r0.apk2025-10-24 14:48 29K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 19:02 29K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 19:02 29K 
[   ]php81-exif-8.1.34-r0.apk2025-12-17 02:27 29K 
[   ]py3-tokenizers-pyc-0.21.2-r0.apk2025-06-29 22:41 29K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 19:00 29K 
[   ]php82-exif-8.2.30-r1.apk2025-12-17 20:10 29K 
[   ]ktx-dev-4.3.2-r1.apk2025-06-10 02:57 29K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 19:02 29K 
[   ]lxqt-wayland-session-doc-0.3.0-r0.apk2025-11-21 03:24 29K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 19:02 29K 
[   ]perl-file-mmagic-xs-0.09008-r5.apk2025-06-30 08:08 29K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 18:59 29K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 18:59 29K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 19:02 29K 
[   ]neo4j-client-2.2.0-r3.apk2024-10-25 19:02 29K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 19:02 29K 
[   ]php85-pecl-luasandbox-4.1.3-r0.apk2025-12-20 11:45 29K 
[   ]materia-dark-gnome-shell-20210322-r3.apk2025-07-10 17:09 29K 
[   ]materia-gnome-shell-20210322-r3.apk2025-07-10 17:09 29K 
[   ]a2jmidid-9-r3.apk2024-10-25 18:59 29K 
[   ]openconnect-sso-0.8.0_git20230822-r0.apk2025-05-14 09:13 29K 
[   ]openconnect-sso-pyc-0.8.0_git20230822-r0.apk2025-05-14 09:13 29K 
[   ]fpp-0.9.5-r0.apk2024-10-25 19:01 29K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 19:02 29K 
[   ]materia-dark-compact-gnome-shell-20210322-r3.apk2025-07-10 17:09 29K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 19:02 29K 
[   ]materia-compact-gnome-shell-20210322-r3.apk2025-07-10 17:09 29K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 19:02 29K 
[   ]btfs-2.24-r12.apk2024-10-25 18:59 29K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-22 14:39 29K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 19:02 29K 
[   ]materia-dark-compact-gtk4-20210322-r3.apk2025-07-10 17:09 29K 
[   ]materia-dark-gtk4-20210322-r3.apk2025-07-10 17:09 29K 
[   ]primecount-7.20-r0.apk2025-11-25 19:08 29K 
[   ]libguestfs-dev-1.56.1-r0.apk2025-07-22 22:16 29K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 19:02 29K 
[   ]perl-barcode-zbar-0.10-r4.apk2025-06-30 08:08 29K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 21:48 30K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 19:02 30K 
[   ]vali-0.1.0-r1.apk2025-11-30 11:57 30K 
[   ]punch-0.1.1-r0.apk2025-12-09 23:50 30K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 19:02 30K 
[   ]py3-spotipy-2.24.0-r3.apk2025-10-14 15:05 30K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r1.apk2025-08-09 16:28 30K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 19:03 30K 
[   ]libcli-1.10.7-r0.apk2024-10-25 19:01 30K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 19:01 30K 
[   ]lomiri-location-service-dev-3.3.0-r5.apk2025-11-17 13:11 30K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 19:02 30K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:22 30K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 18:55 30K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-14 00:10 30K 
[   ]libqtdbustest-0.4.0-r0.apk2025-09-03 21:08 30K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 19:01 30K 
[   ]xpar-0.7-r0.apk2025-09-27 14:33 30K 
[   ]lomiri-download-manager-lang-0.3.0-r0.apk2025-12-09 11:10 30K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 19:02 30K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 18:59 30K 
[   ]ffms2-doc-5.0-r2.apk2025-09-27 14:27 30K 
[   ]py3-pytest-regtest-pyc-2.3.5-r0.apk2025-10-12 13:32 30K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 19:01 30K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 19:02 30K 
[   ]paprefs-1.2-r2.apk2024-11-22 22:14 30K 
[   ]php81-ldap-8.1.34-r0.apk2025-12-17 02:27 30K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 19:01 30K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 19:01 30K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 19:02 30K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 19:02 30K 
[   ]ldapdomaindump-pyc-0.10.0-r0.apk2025-07-30 19:18 30K 
[   ]curtail-1.13.0-r0.apk2025-07-05 20:40 30K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 19:02 30K 
[   ]php82-ldap-8.2.30-r1.apk2025-12-17 20:10 30K 
[   ]qperf-0.4.11-r2.apk2025-05-14 00:10 30K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 19:02 30K 
[   ]punch-pyc-0.1.1-r0.apk2025-12-09 23:50 31K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 19:01 31K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 19:01 31K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 19:02 31K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 19:02 31K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 19:02 31K 
[   ]ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk2025-11-17 10:23 31K 
[   ]pdfcrack-0.21-r0.apk2025-11-19 08:08 31K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 19:02 31K 
[   ]musikcube-plugin-openmpt-3.0.5-r0.apk2025-09-27 21:15 31K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 19:01 31K 
[   ]libgrapheme-dev-2.0.2-r0.apk2025-07-22 22:16 31K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-17 21:22 31K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 18:59 31K 
[   ]svt-hevc-1.5.1-r2.apk2024-10-25 19:03 31K 
[   ]plplot-5.15.0-r2.apk2024-10-25 19:02 31K 
[   ]lomiri-api-0.2.3-r0.apk2025-10-25 20:19 31K 
[   ]mint-x-theme-xfwm4-2.3.2-r0.apk2025-11-14 21:57 31K 
[   ]py3-sphinx-autoapi-3.6.1-r0.apk2025-10-11 10:59 31K 
[   ]jhead-3.08-r0.apk2024-10-25 19:01 31K 
[   ]libfort-0.4.2-r0.apk2024-10-25 19:01 31K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 19:01 31K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 08:45 31K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 18:59 31K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 19:02 32K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 19:02 32K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 19:02 32K 
[   ]litterbox-1.9-r2.apk2025-09-12 22:22 32K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 19:01 32K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:10 32K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-17 17:29 32K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 19:02 32K 
[   ]py3-knowit-0.5.11-r0.apk2025-11-24 01:06 32K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 19:02 32K 
[   ]jedi-language-server-0.45.1-r0.apk2025-04-28 22:57 32K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 19:03 32K 
[   ]kdiskmark-lang-3.2.0-r0.apk2025-06-30 16:46 32K 
[   ]pptpclient-1.10.0-r6.apk2025-06-30 08:08 32K 
[   ]refine-lang-0.6.3-r0.apk2025-11-21 04:18 32K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 19:03 32K 
[   ]numbat-doc-1.16.0-r0.apk2025-08-19 13:10 32K 
[   ]perl-astro-0.78-r0.apk2025-07-21 08:41 32K 
[   ]blip-doc-0.10-r0.apk2024-10-25 18:59 32K 
[   ]php81-imap-8.1.34-r0.apk2025-12-17 02:27 32K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 19:02 32K 
[   ]tang-dbg-15-r0.apk2025-01-20 04:17 32K 
[   ]bcg729-1.1.1-r1.apk2025-10-15 08:21 32K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 19:02 32K 
[   ]unit-php81-1.35.0-r1.apk2025-09-15 15:03 32K 
[   ]unit-php82-1.35.0-r0.apk2025-10-24 14:48 32K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 19:03 32K 
[   ]lomiri-api-dev-0.2.3-r0.apk2025-10-25 20:19 32K 
[   ]py3-litespi-2024.04-r0.apk2024-10-25 19:02 32K 
[   ]spacenavd-1.2-r0.apk2024-10-25 19:03 32K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-04-03 00:11 32K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-16 22:35 32K 
[   ]php82-imap-8.2.30-r1.apk2025-12-17 20:10 32K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 19:02 32K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 19:01 32K 
[   ]perl-expect-1.38-r0.apk2025-04-19 19:02 32K 
[   ]tree-sitter-hare-0_git20230616-r2.apk2025-07-22 21:29 32K 
[   ]py3-furl-pyc-2.1.4-r0.apk2025-07-13 21:52 32K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 19:02 32K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 19:02 32K 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 10:32 32K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-02 03:03 33K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 19:02 33K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 19:02 33K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-14 18:16 33K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 19:01 33K 
[   ]ngs-aws-0.2.14-r0.apk2024-10-25 19:02 33K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 19:02 33K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 19:02 33K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 19:02 33K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 19:01 33K 
[   ]phosh-tour-lang-0.50.0-r2.apk2025-12-15 13:14 33K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 19:01 33K 
[   ]bgpq4-1.15-r0.apk2024-10-25 18:59 33K 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 18:59 33K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 19:02 33K 
[   ]libcork-0.15.0-r7.apk2024-10-25 19:01 33K 
[   ]i3status-rust-doc-0.34.0-r0.apk2025-07-19 15:30 33K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 19:03 33K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-25 23:37 33K 
[   ]py3-engineio-doc-4.12.3-r0.apk2025-11-27 09:35 33K 
[   ]php81-pecl-igbinary-3.2.17_rc1-r0.apk2025-11-27 21:27 33K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-21 16:21 33K 
[   ]php81-curl-8.1.34-r0.apk2025-12-17 02:27 33K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 06:43 33K 
[   ]mm-common-doc-1.0.7-r0.apk2025-06-27 16:39 33K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 19:00 33K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 19:02 34K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 16:03 34K 
[   ]py3-pathvalidate-pyc-3.3.1-r0.apk2025-07-12 15:21 34K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 18:59 34K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:18 34K 
[   ]ubus-2025.10.17-r0.apk2025-10-25 12:57 34K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 19:02 34K 
[   ]php82-pecl-igbinary-3.2.17_rc1-r0.apk2025-11-27 21:27 34K 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 19:01 34K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-21 16:21 34K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 19:02 34K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 18:59 34K 
[   ]hardened-malloc-13-r0.apk2024-10-25 19:01 34K 
[   ]buildbot-waterfall-view-4.3.0-r0.apk2025-08-25 13:20 34K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 19:03 34K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 19:02 34K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 19:01 34K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 19:02 34K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 22:24 34K 
[   ]php81-pecl-oauth-2.0.10-r0.apk2025-10-09 18:38 34K 
[   ]tiptop-2.3.1-r2.apk2024-10-25 19:03 34K 
[   ]lavacli-doc-2.4-r0.apk2025-06-15 03:57 34K 
[   ]php81-sockets-8.1.34-r0.apk2025-12-17 02:27 34K 
[   ]py3-clang-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 34K 
[   ]refine-0.6.3-r0.apk2025-11-21 04:18 34K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 19:02 34K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 18:59 34K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 19:02 34K 
[   ]php82-pecl-oauth-2.0.10-r0.apk2025-10-09 18:38 34K 
[   ]aravis-dev-0.8.31-r0.apk2024-10-25 18:59 34K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 18:59 34K 
[   ]php83-pecl-oauth-2.0.10-r0.apk2025-10-09 18:38 34K 
[   ]dfl-login1-0.3.0-r0.apk2025-08-21 07:47 34K 
[   ]php84-pecl-oauth-2.0.10-r0.apk2025-10-09 18:38 34K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 19:01 34K 
[   ]py3-aesedb-0.1.8-r0.apk2025-11-03 08:48 34K 
[   ]materia-dark-gtk2-20210322-r3.apk2025-07-10 17:09 34K 
[   ]materia-dark-compact-gtk2-20210322-r3.apk2025-07-10 17:09 35K 
[   ]materia-gtk2-20210322-r3.apk2025-07-10 17:09 35K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 19:03 35K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 19:01 35K 
[   ]materia-compact-gtk2-20210322-r3.apk2025-07-10 17:09 35K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 16:16 35K 
[   ]kodi-audioencoder-vorbis-20.2.0-r1.apk2024-10-25 19:01 35KKodi
[   ]linuxptp-pmc-4.4-r0.apk2024-11-17 17:29 35K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 19:02 35K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-16 22:35 35K 
[   ]py3-ovos-config-pyc-2.1.1-r0.apk2025-07-15 18:37 35K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 19:03 35K 
[   ]py3-latex2mathml-pyc-3.78.1-r1.apk2025-09-29 19:06 35K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 18:59 35K 
[   ]py3-icalendar-searcher-1.0.3-r0.apk2025-12-07 19:14 35K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-11 11:19 35K 
[   ]php82-sockets-8.2.30-r1.apk2025-12-17 20:10 35K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 19:02 35K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 18:59 35K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-17 17:29 35K 
[   ]lshell-pyc-0.9.18-r12.apk2025-09-06 16:20 35K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 19:02 35K 
[   ]srain-lang-1.8.1-r0.apk2025-06-27 10:32 35K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 19:02 35K 
[   ]perl-datetime-astro-1.04-r0.apk2025-07-21 08:41 35K 
[   ]elementary-camera-lang-8.0.2-r0.apk2025-09-02 02:25 35K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:52 35K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 19:02 35K 
[   ]php82-pecl-rdkafka-6.0.5-r0.apk2025-10-24 14:48 35K 
[   ]musikcube-plugin-taglibreader-3.0.5-r0.apk2025-09-27 21:15 35K 
[   ]php82-curl-8.2.30-r1.apk2025-12-17 20:10 35K 
[   ]py3-mando-pyc-0.8.2-r0.apk2025-12-18 11:03 35K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 18:59 35K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:47 35K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 19:02 35K 
[   ]php81-session-8.1.34-r0.apk2025-12-17 02:27 35K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 19:02 35K 
[   ]mat2-0.13.5-r0.apk2025-09-16 19:00 35K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 18:59 35K 
[   ]tofu-ls-doc-0.2.0-r1.apk2025-12-04 22:48 35K 
[   ]php85-pecl-oauth-2.0.10-r0.apk2025-10-09 18:38 36K 
[   ]perl-test-unit-0.29-r0.apk2025-12-09 08:59 36K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 19:02 36K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-10 03:12 36K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 19:02 36K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-17 17:29 36K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 19:02 36K 
[   ]py3-asysocks-examples-0.2.18-r0.apk2025-11-03 08:48 36K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 19:02 36K 
[   ]perl-time-moment-doc-0.46-r0.apk2025-12-04 22:48 36K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 19:01 36K 
[   ]ovos-audio-pyc-1.0.1-r0.apk2025-07-15 20:03 36K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 22:09 36K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 19:02 36K 
[   ]py3-socketio-doc-5.13.0-r0.apk2025-09-06 16:20 36K 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 19:02 36K 
[   ]nwg-displays-pyc-0.3.26-r0.apk2025-08-28 20:04 36K 
[   ]php82-session-8.2.30-r1.apk2025-12-17 20:10 36K 
[   ]gmcapsule-0.9.8-r0.apk2025-10-07 09:39 36K 
[   ]lshell-0.9.18-r12.apk2025-09-06 16:20 36K 
[   ]perl-math-random-0.75-r0.apk2025-10-01 04:49 36K 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 18:59 36K 
[   ]libtcmu-1.6.0-r6.apk2024-10-25 19:01 36K 
[   ]burp-server-3.1.4-r0.apk2024-10-25 18:59 36K 
[   ]libopensles-standalone-0_git20250913-r0.apk2025-09-22 13:31 37K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 19:02 37K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 19:02 37K 
[   ]alttab-1.7.1-r0.apk2024-10-25 18:59 37K 
[   ]gl2ps-1.4.2-r0.apk2025-10-12 21:34 37K 
[   ]emmylua-ls-doc-0.17.0-r0.apk2025-12-22 00:13 37K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 19:02 37K 
[   ]kanidm-zsh-completion-1.8.5-r0.apk2025-12-13 18:49 37K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 19:00 37K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 19:02 37K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-22 14:38 37K 
[   ]py3-enlighten-1.14.1-r0.apk2025-08-28 20:04 37K 
[   ]py3-astral-3.2-r3.apk2024-10-25 19:02 37K 
[   ]kcbench-0.9.13-r0.apk2025-10-24 14:48 37K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 19:03 37K 
[   ]darkradiant-lang-3.9.0-r0.apk2025-09-28 12:00 37K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-14 10:10 37K 
[   ]perl-libapreq2-doc-2.17-r3.apk2025-06-30 08:08 37K 
[   ]zycore-dev-1.5.0-r1.apk2025-07-11 04:50 37K 
[   ]perl-time-moment-0.46-r0.apk2025-12-04 22:48 37K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 19:02 37K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 19:02 38K 
[   ]py3-aiosmb-examples-0.4.14-r0.apk2025-11-03 08:48 38K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 18:59 38K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 18:59 38K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 19:02 38K 
[   ]symbiyosys-0.36-r0.apk2024-10-25 19:03 38K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 19:03 38K 
[   ]csol-1.6.0-r0.apk2024-10-25 18:59 38K 
[   ]py3-cstruct-pyc-6.1-r0.apk2025-08-21 23:06 38K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 19:03 38K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 19:02 38K 
[   ]gcli-doc-2.9.1-r0.apk2025-11-09 16:39 38K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 12:05 38K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 15:13 38K 
[   ]py3-python-iptables-1.2.0-r1.apk2025-12-12 15:43 38K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 19:04 38K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:55 38K 
[   ]ovos-gui-1.3.3-r0.apk2025-07-15 20:03 38K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 22:14 38K 
[   ]apache2-mod-perl-dev-2.0.13-r2.apk2025-06-30 08:08 38K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-10 03:12 38K 
[   ]peg-0.1.18-r1.apk2024-10-25 19:02 38K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 10:09 38K 
[   ]sstp-client-1.0.20-r3.apk2025-10-24 14:48 38K 
[   ]darts-clone-0.32h-r0.apk2025-07-06 07:09 38K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 18:59 38K 
[   ]ovos-gui-pyc-1.3.3-r0.apk2025-07-15 20:03 38K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 19:02 38K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-17 17:29 38K 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-24 21:28 38K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 18:59 39K 
[   ]phosh-tour-0.50.0-r2.apk2025-12-15 13:14 39K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 19:01 39K 
[   ]wput-0.6.2-r4.apk2024-10-25 19:04 39K 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 19:01 39K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 19:03 39K 
[   ]py3-zope-configuration-7.0-r0.apk2025-12-02 00:39 39K 
[   ]nfoview-2.1-r0.apk2025-04-13 21:31 39K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 19:02 39K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 19:01 39K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 19:01 39K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 20:44 39K 
[   ]avra-1.4.2-r0.apk2024-10-25 18:59 39K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-15 03:57 39K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 19:01 39K 
[   ]sturmreader-lang-3.7.2-r2.apk2025-09-06 16:20 39K 
[   ]linkchecker-doc-10.6.0-r0.apk2025-08-10 11:39 39K 
[   ]clang-next-rtlib-scudo-22.0.0_pre20251108-r0.apk2025-11-09 09:38 39K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 19:03 39K 
[   ]py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk2024-10-25 19:02 39K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 19:00 39K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 08:45 39K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-14 00:10 39K 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 19:02 39K 
[   ]supercollider-dev-3.13.0-r6.apk2025-02-08 23:48 39K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 18:59 39K 
[   ]php81-mysqli-8.1.34-r0.apk2025-12-17 02:27 39K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 19:02 39K 
[   ]ecos-2.0.10-r0.apk2024-10-25 19:00 39K 
[   ]py3-manuel-1.13.0-r1.apk2025-10-14 15:04 39K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-02 03:03 39K 
[   ]perl-net-curl-doc-0.57-r1.apk2025-06-30 08:08 39K 
[   ]cocogitto-doc-6.5.0-r0.apk2025-11-02 18:21 39K 
[   ]varnish-modules-0.26.0-r0.apk2025-07-06 19:16 40K 
[   ]php83-pecl-ev-1.2.2-r0.apk2025-11-07 23:32 40K 
[   ]php81-pdo-8.1.34-r0.apk2025-12-17 02:27 40K 
[   ]repo-doc-2.60-r0.apk2025-12-12 19:49 40K 
[   ]materia-dark-compact-gtk3-20210322-r3.apk2025-07-10 17:09 40K 
[   ]materia-dark-gtk3-20210322-r3.apk2025-07-10 17:09 40K 
[   ]php84-pecl-ev-1.2.2-r0.apk2025-11-07 23:32 40K 
[   ]php85-pecl-ev-1.2.2-r0.apk2025-11-07 23:32 40K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 19:02 40K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 19:02 40K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-14 00:10 40K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-14 00:10 40K 
[   ]wbg-1.3.0-r1.apk2025-11-01 15:12 40K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 19:02 40K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 19:01 40K 
[   ]sflowtool-6.02-r0.apk2024-10-25 19:03 40K 
[   ]apulse-0.1.14-r0.apk2025-09-06 16:20 40K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 21:23 40K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 19:02 40K 
[   ]py3-irc-20.4.1-r1.apk2025-10-06 06:21 40K 
[   ]peervpn-0.044-r5.apk2024-10-25 19:02 40K 
[   ]php82-mysqli-8.2.30-r1.apk2025-12-17 20:10 40K 
[   ]uxn-1.0-r0.apk2024-10-25 19:03 40K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 19:02 40K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-19 14:15 40K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 18:17 40K 
[   ]py3-pyzor-1.1.2-r0.apk2025-08-14 06:26 40K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 19:02 40K 
[   ]perl-astro-coords-doc-0.23-r0.apk2025-10-09 07:23 40K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 21:46 40K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 19:02 40K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-12 04:50 41K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 05:05 41K 
[   ]perl-x11-korgwm-5.0-r0.apk2025-12-13 07:45 41K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 19:02 41K 
[   ]py3-unearth-0.18.0-r0.apk2025-10-14 14:54 41K 
[   ]php82-pecl-memcache-8.2-r2.apk2025-10-24 14:48 41K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 19:01 41K 
[   ]cobang-1.8.1-r0.apk2025-10-23 05:17 41K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 19:02 41K 
[   ]py3-piper-tts-2023.11.14.2-r14.apk2025-07-12 16:07 41K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 19:01 41K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 19:02 41K 
[   ]perl-prereqscanner-notquitelite-0.9917-r0.apk2025-07-12 13:43 41K 
[   ]alacritty-graphics-doc-0.16.1-r0.apk2025-12-18 00:02 41K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 19:03 41K 
[   ]geoclue-stumbler-1.1-r0.apk2025-10-04 17:03 41K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-06 23:03 41K 
[   ]raku-distribution-builder-makefromjson-0.6-r1.apk2025-08-29 08:22 41K 
[   ]yices2-dev-2.6.5-r0.apk2025-03-02 23:05 41K 
[   ]lomiri-calculator-app-lang-4.1.0-r0.apk2025-08-19 20:09 41K 
[   ]sblg-0.5.11-r0.apk2024-10-25 19:03 41K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 16:04 41K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:47 42K 
[   ]php82-pdo-8.2.30-r1.apk2025-12-17 20:10 42K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 19:02 42K 
[   ]gupnp-av-dev-0.14.4-r1.apk2025-08-09 16:27 42K 
[   ]imediff-2.6-r1.apk2024-10-25 19:01 42K 
[   ]litehtml-dev-0.9-r2.apk2025-02-22 14:39 42K 
[   ]adbd-0_git20251004-r0.apk2025-10-06 21:54 42K 
[   ]gl2ps-static-1.4.2-r0.apk2025-10-12 21:34 42K 
[   ]normaliz-3.10.4-r3.apk2025-11-26 08:24 42K 
[   ]watchdog-5.16-r2.apk2024-10-25 19:04 42K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 15:08 42K 
[   ]hub-doc-2.14.2-r36.apk2025-12-04 22:48 42K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 11:29 42K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 17:03 42K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 19:02 42K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 19:01 42K 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 19:02 42K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 19:02 42K 
[   ]bazel6-bash-completion-6.5.0-r0.apk2024-10-25 18:59 42K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 18:55 42K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 19:02 42K 
[   ]xfsdump-doc-3.2.0-r0.apk2025-08-30 20:48 42K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 19:02 42K 
[   ]materia-compact-gtk4-20210322-r3.apk2025-07-10 17:09 43K 
[   ]ubase-20200605-r3.apk2024-10-25 19:03 43K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-19 14:15 43K 
[   ]rygel-dev-45.0-r1.apk2025-11-18 18:30 43K 
[   ]sentinel-proxy-2.1.0-r1.apk2025-06-13 14:12 43K 
[   ]materia-gtk4-20210322-r3.apk2025-07-10 17:09 43K 
[   ]wine-staging-doc-10.20-r0.apk2025-11-29 16:53 43K 
[   ]perl-cgi-simple-doc-1.282-r0.apk2025-08-30 04:17 43K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 19:02 43K 
[   ]flatseal-2.3.1-r0.apk2025-06-19 15:30 43K 
[   ]kodi-audioencoder-flac-20.2.0-r1.apk2024-10-25 19:01 43KKodi
[   ]py3-bookkeeper-4.17.2-r0.apk2025-07-13 21:44 43K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 19:02 43K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 21:15 43K 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 19:03 43K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 19:02 43K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 19:01 43K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 19:02 43K 
[   ]somebar-1.0.3-r0.apk2024-10-25 19:03 43K 
[   ]php81-pgsql-8.1.34-r0.apk2025-12-17 02:27 43K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 19:00 43K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 19:02 44K 
[   ]aravis-0.8.31-r0.apk2024-10-25 18:59 44K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-14 10:10 44K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 19:03 44K 
[   ]dislocker-libs-0.7.3-r6.apk2025-07-19 22:52 44K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 19:01 44K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 19:02 44K 
[   ]php82-pgsql-8.2.30-r1.apk2025-12-17 20:10 44K 
[   ]persistent-cache-cpp-1.0.9-r0.apk2025-09-08 01:00 44K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 19:02 44K 
[   ]py3-dunamai-pyc-1.25.0-r0.apk2025-07-25 23:16 44K 
[   ]py3-aiowinreg-pyc-0.0.13-r0.apk2025-11-03 08:48 44K 
[   ]pikchr-cmd-1.0.0-r0.apk2025-10-25 10:43 44K 
[   ]kismet-nrf-51822-0.202509.1-r0.apk2025-10-09 07:23 44K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 19:02 44K 
[   ]wlr-sunclock-1.2.1-r0.apk2025-12-01 14:08 44K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 19:03 44K 
[   ]primesieve-12.10-r0.apk2025-11-25 19:08 44K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 19:01 44K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk2025-11-22 13:32 45K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-21 16:21 45K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 19:03 45K 
[   ]malcontent-doc-0.13.1-r0.apk2025-09-03 21:08 45K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 19:02 45K 
[   ]cartero-lang-0.2.2-r0.apk2025-10-13 00:00 45K 
[   ]py3-gtkspellcheck-5.0.3-r1.apk2025-08-09 16:28 45K 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 19:02 45K 
[   ]php81-pecl-memcached-3.4.0-r0.apk2025-10-13 10:34 45K 
[   ]gssdp-1.6.4-r1.apk2025-08-09 16:27 45K 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 19:02 45K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 19:00 45K 
[   ]php82-pecl-memcached-3.4.0-r0.apk2025-10-24 14:48 45K 
[   ]lomiri-libusermetrics-lang-1.4.0-r0.apk2025-12-09 11:10 45K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 19:00 45K 
[   ]gummiboot-48.1-r11.apk2025-07-20 21:07 45K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 19:02 45K 
[   ]calibre-zsh-completion-8.15.0-r0.apk2025-12-01 01:32 45K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 19:02 45K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 19:02 45K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 19:02 45K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 19:03 45K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 19:01 45K 
[   ]qadwaitadecorations-0.1.7-r1.apk2025-10-05 10:28 45K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk2025-11-03 16:16 45K 
[   ]waynergy-0.0.17-r1.apk2025-09-12 22:22 46K 
[   ]kismet-nxp-kw41z-0.202509.1-r0.apk2025-10-09 07:23 46K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 21:48 46K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 19:02 46K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 19:01 46K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 19:02 46K 
[   ]cpufetch-1.07-r0.apk2025-11-01 10:01 46K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 18:59 46K 
[   ]dooit-3.3.3-r0.apk2025-10-27 08:46 46K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 19:02 46K 
[   ]ffmpeg4-4.4.5-r4.apk2025-10-19 13:36 46K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 19:00 46K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 19:02 46K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 19:02 46K 
[   ]openwsman-2.8.1-r1.apk2025-06-30 08:08 46K 
[   ]kismet-linux-bluetooth-0.202509.1-r0.apk2025-10-09 07:23 46K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-21 16:21 46K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 19:02 46K 
[   ]identme-0.6.0-r0.apk2025-04-03 12:33 46K 
[   ]fusesoc-2.3-r0.apk2024-10-25 19:01 46K 
[   ]py3-enlighten-pyc-1.14.1-r0.apk2025-08-28 20:04 46K 
[   ]elementary-feedback-8.1.0-r0.apk2025-11-22 15:38 46K 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 19:01 46K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:00 46K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 18:59 47K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 18:59 47K 
[   ]cava-0.10.6-r0.apk2025-09-12 08:10 47K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 19:01 47K 
[   ]acmetool-doc-0.2.2-r18.apk2025-12-04 22:48 47K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:47 47K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 18:59 47K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 19:02 47K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 18:59 47K 
[   ]ffmpeg4-libavdevice-4.4.5-r4.apk2025-10-19 13:36 47K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 19:02 47K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-19 16:39 47K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 19:02 47K 
[   ]sopwith-2.5.0-r0.apk2024-10-25 19:03 47K 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 10:53 47K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 19:02 47K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 19:02 47K 
[   ]py3-ovos-config-2.1.1-r0.apk2025-07-15 18:37 47K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 23:37 47K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 19:02 47K 
[   ]solanum-lang-6.0.0-r0.apk2025-04-10 13:52 47K 
[   ]lomiri-content-hub-lang-2.2.1-r0.apk2025-12-09 11:10 47K 
[   ]dfl-ipc-0.3.0-r0.apk2025-08-21 07:47 47K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 19:01 47K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:06 47K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 19:02 47K 
[   ]php82-pecl-event-3.1.4-r0.apk2025-10-24 14:48 48K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-22 14:39 48K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 19:03 48K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 19:02 48K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-17 04:04 48K 
[   ]jalv-1.6.8-r1.apk2024-10-25 19:01 48K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-10-25 19:01 48K 
[   ]bazel7-bash-completion-7.7.1-r0.apk2025-11-22 18:41 48K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 19:02 48K 
[   ]py3-rtree-pyc-1.4.1-r0.apk2025-08-14 10:16 48K 
[   ]perl-astro-telescope-0.71-r0.apk2025-07-21 08:41 48K 
[   ]elementary-feedback-lang-8.1.0-r0.apk2025-11-22 15:38 48K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 19:02 48K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 18:59 49K 
[   ]py3-sssd-pyc-2.11.1-r2.apk2025-11-20 22:18 49K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 19:02 49K 
[   ]urlwatch-2.28-r2.apk2024-10-25 19:03 49K 
[   ]py3-engineio-4.12.3-r0.apk2025-11-27 09:35 49K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 19:02 49K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-19 14:15 49K 
[   ]fungw-perl-1.2.2-r0.apk2025-09-19 18:26 49K 
[   ]perl-test-unit-doc-0.29-r0.apk2025-12-09 08:59 49K 
[   ]ffmpeg4-libswresample-4.4.5-r4.apk2025-10-19 13:36 49K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 19:02 49K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 49K 
[   ]fplll-5.5.0-r0.apk2024-11-17 04:04 49K 
[   ]py3-zope-configuration-pyc-7.0-r0.apk2025-12-02 00:39 49K 
[   ]ovos-core-2.1.0-r0.apk2025-11-02 15:56 49K 
[   ]femto-doc-2.24.1-r0.apk2025-09-06 16:20 49K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-10-25 19:02 49K 
[   ]perl-prereqscanner-notquitelite-doc-0.9917-r0.apk2025-07-12 13:43 49K 
[   ]metadata-cleaner-2.5.6-r0.apk2025-01-31 15:05 49K 
[   ]py3-criu-3.19-r3.apk2025-06-12 13:59 49K 
[   ]lavacli-2.4-r0.apk2025-06-15 03:57 49K 
[   ]py3-spotipy-pyc-2.24.0-r3.apk2025-10-14 15:05 49K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 19:02 50K 
[   ]perl-data-dump-streamer-2.42-r1.apk2025-06-30 08:08 50K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 21:48 50K 
[   ]wiimms-szs-tools-doc-2.26a-r0.apk2024-10-25 19:04 50K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 19:03 50K 
[   ]opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk2025-11-22 13:32 50K 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-04-28 22:57 50K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 19:02 50K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-15 03:57 50K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-18 08:46 50K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-04-25 10:49 50K 
[   ]py3-tidalapi-0.8.4-r0.apk2025-07-12 16:08 50K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 19:01 50K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-14 18:16 50K 
[   ]lynis-doc-3.1.4-r0.apk2025-07-29 08:08 50K 
[   ]gupnp-dev-1.6.9-r1.apk2025-08-09 16:27 50K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 19:02 50K 
[   ]perl-software-license-doc-0.104007-r0.apk2025-07-08 16:53 50K 
[   ]castero-0.9.5-r4.apk2025-05-14 18:16 50K 
[   ]perl-devel-nytprof-doc-6.14-r1.apk2025-06-30 08:08 51K 
[   ]py3-unicorn-2.1.4-r0.apk2025-10-14 14:38 51K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 06:36 51K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:11 51K 
[   ]perl-minion-doc-11.0-r0.apk2025-08-27 09:14 51K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 19:02 51K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 08:16 51K 
[   ]pixiewps-1.4.2-r2.apk2025-05-14 00:10 51K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 23:55 51K 
[   ]py3-lxmf-0.8.0-r0.apk2025-09-23 22:32 51K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 19:01 51K 
[   ]ccze-0.2.1-r1.apk2024-10-25 18:59 51K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 19:02 51K 
[   ]libiio-0.25-r2.apk2024-10-25 19:01 51K 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 19:03 51K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-03 10:05 51K 
[   ]clevis-21-r0.apk2025-01-20 04:17 51K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 19:02 52K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 09:41 52K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 19:02 52K 
[   ]megatools-doc-1.11.5.20250706-r0.apk2025-07-30 15:11 52K 
[   ]libstirshaken-0_git20240208-r4.apk2025-04-28 19:57 52K 
[   ]lomiri-ui-extras-lang-0.8.0-r0.apk2025-12-09 11:10 52K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 19:02 52K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 19:02 52K 
[   ]cproc-0_git20240427-r1.apk2024-11-03 21:51 52K 
[   ]php82-pecl-amqp-2.1.2-r0.apk2025-10-24 14:48 53K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-14 18:16 53K 
[   ]tremc-0.9.4-r0.apk2025-07-16 04:23 53K 
[   ]perl-astro-coords-0.23-r0.apk2025-10-09 07:23 53K 
[   ]pure-data-dev-0.55.2-r0.apk2025-06-29 17:18 53K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 19:02 53K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 18:59 53K 
[   ]evolution-etesync-1.1.1-r0.apk2025-09-27 19:40 53K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 19:01 53K 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 19:03 53K 
[   ]rkdeveloptool-1.1.0-r2.apk2025-11-26 20:55 53K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 19:03 53K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 19:02 53K 
[   ]kodi-vfs-sftp-20.2.0-r1.apk2024-10-25 19:01 53KKodi
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 19:02 53K 
[   ]khronos-4.0.1-r0.apk2024-10-25 19:01 53K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 16:03 53K 
[   ]perl-astro-montenbruck-1.26-r0.apk2025-07-21 08:41 53K 
[   ]xfce4-panel-profiles-lang-1.1.1-r1.apk2025-11-24 12:53 53K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 19:02 54K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 19:02 54K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 19:02 54K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 19:02 54K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 19:01 54K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 18:59 54K 
[   ]kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk2024-10-25 19:01 54KKodi
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-25 19:02 54K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 12:51 54K 
[   ]php81-pecl-apcu-5.1.28-r0.apk2025-12-07 18:05 54K 
[   ]py3-pyzor-pyc-1.1.2-r0.apk2025-08-14 06:26 54K 
[   ]shine-3.1.1-r0.apk2024-10-25 19:03 54K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-21 16:21 54K 
[   ]py3-joserfc-1.5.0-r0.apk2025-12-04 22:48 54K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 19:03 54K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 19:02 54K 
[   ]llvm-next-libgcc-22.0.0_pre20251108-r0.apk2025-11-09 09:38 54K 
[   ]php82-pecl-apcu-5.1.28-r0.apk2025-12-07 18:05 54K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 19:02 54K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 19:02 54K 
[   ]mat2-pyc-0.13.5-r0.apk2025-09-16 19:00 54K 
[   ]fabric-3.2.2-r1.apk2024-10-25 19:00 55K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 19:02 55K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 15:13 55K 
[   ]mint-y-theme-metacity-2.3.2-r0.apk2025-11-14 21:57 55K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 19:02 55K 
[   ]perl-libapreq2-dev-2.17-r3.apk2025-06-30 08:08 55K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 19:00 55K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 19:02 55K 
[   ]epoch-1.3.0-r2.apk2024-10-25 19:00 55K 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 18:59 55K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-02 03:03 55K 
[   ]gdcm-doc-3.2.2-r3.apk2025-12-02 06:13 55K 
[   ]tcmalloc-minimal-2.17-r0.apk2025-08-05 13:00 55K 
[   ]php82-pecl-ds-1.6.0-r0.apk2025-10-24 14:48 55K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-10 03:12 55K 
[   ]gradia-lang-1.9.0-r0.apk2025-09-06 16:20 56K 
[   ]perl-cgi-simple-1.282-r0.apk2025-08-30 04:17 56K 
[   ]openwsman-dev-2.8.1-r1.apk2025-06-30 08:08 56K 
[   ]portsmf-239-r2.apk2025-10-13 20:02 56K 
[   ]libucl-0.9.0-r0.apk2024-10-25 19:01 56K 
[   ]terminalpp-ropen-0.8.4-r0.apk2024-10-25 19:03 56K 
[   ]scenefx-0.4.1-r0.apk2025-12-20 23:13 56K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-04-03 00:11 56K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2024-10-25 19:02 56K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 19:02 56K 
[   ]quickemu-4.9.7-r0.apk2025-06-15 20:03 56K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 19:02 56K 
[   ]atari800-doc-5.2.0-r0.apk2024-10-25 18:59 56K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 19:02 56K 
[   ]py3-dnslib-0.9.26-r0.apk2025-09-01 18:15 56K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 19:01 57K 
[   ]ovos-dinkum-listener-pyc-0.4.1-r0.apk2025-07-15 20:03 57K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 19:03 57K 
[   ]onioncat-4.11.0-r1.apk2024-10-25 19:02 57K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 19:01 57K 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 19:01 57K 
[   ]shntool-3.0.10-r5.apk2025-02-24 21:28 57K 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 18:59 57K 
[   ]git-extras-7.4.0-r0.apk2025-07-22 22:16 57K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 19:02 57K 
[   ]tinygltf-dev-2.9.7-r0.apk2025-11-02 20:50 57K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 19:03 57K 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 17:27 57K 
[   ]kfc-0.1.4-r0.apk2024-10-25 19:01 58K 
[   ]xfce4-panel-profiles-1.1.1-r1.apk2025-11-24 12:53 58K 
[   ]xone-src-0.5.1-r0.apk2025-12-22 16:40 58K 
[   ]py3-sssd-2.11.1-r2.apk2025-11-20 22:18 58K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 19:03 58K 
[   ]libqd-dev-2.3.24-r0.apk2024-10-25 19:01 58K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk2025-11-22 13:32 58K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 19:03 58K 
[   ]bionic_translation-0_git20251008-r0.apk2025-10-09 07:23 58K 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 19:02 58K 
[   ]flightgear-doc-2024.1.1-r0.apk2025-03-05 00:17 58K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 19:01 58K 
[   ]perl-json-validator-5.15-r0.apk2025-03-21 16:21 58K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 19:03 58K 
[   ]ovos-core-pyc-2.1.0-r0.apk2025-11-02 15:56 58K 
[   ]notification-daemon-3.20.0-r1.apk2025-07-01 22:41 58K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 19:01 58K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 16:22 58K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 19:02 58K 
[   ]php81-dom-8.1.34-r0.apk2025-12-17 02:27 59K 
[   ]py3-unicrypto-0.0.12-r0.apk2025-11-03 08:48 59K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 18:59 59K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 19:04 59K 
[   ]pympress-lang-1.8.6-r0.apk2025-11-10 05:46 59K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 19:03 59K 
[   ]py3-sphinx-autoapi-pyc-3.6.1-r0.apk2025-10-11 10:59 59K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 19:02 59K 
[   ]libvalkey-0.2.1-r0.apk2025-11-17 11:56 59K 
[   ]decoder-lang-0.7.0-r0.apk2025-04-10 14:09 59K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 19:02 59K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 19:02 59K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 19:01 59K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 19:02 59K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 19:02 59K 
[   ]dfl-sni-0.3.0-r0.apk2025-08-21 07:47 59K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 19:03 59K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 18:59 59K 
[   ]elementary-calculator-lang-8.0.1-r0.apk2025-09-02 02:29 59K 
[   ]ustr-1.0.4-r1.apk2024-10-25 19:03 60K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 19:02 60K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 19:01 60K 
[   ]php82-dom-8.2.30-r1.apk2025-12-17 20:10 60K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 19:00 60K 
[   ]perl-astro-montenbruck-doc-1.26-r0.apk2025-07-21 08:41 60K 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-11 11:18 60K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 18:59 60K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 19:00 60K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 14:50 60K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 19:02 60K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 19:02 60K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 19:02 60K 
[   ]linux-gpib-4.3.7-r0.apk2025-12-06 23:09 61K 
[   ]gmcapsule-pyc-0.9.8-r0.apk2025-10-07 09:39 61K 
[   ]zydis-dev-4.1.0-r0.apk2024-10-25 19:04 61K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 19:01 61K 
[   ]ffmpeg4-libpostproc-4.4.5-r4.apk2025-10-19 13:36 61K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 19:02 61K 
[   ]daemontools-0.76-r3.apk2024-10-25 18:59 61K 
[   ]libofx-0.10.9-r1.apk2024-10-25 19:01 61K 
[   ]py3-nikola-doc-8.3.3-r0.apk2025-10-11 10:49 61K 
[   ]guish-doc-2.6.11-r0.apk2024-12-24 10:42 61K 
[   ]py3-clang-next-pyc-22.0.0_pre20251108-r0.apk2025-11-09 09:38 61K 
[   ]megatools-1.11.5.20250706-r0.apk2025-07-30 15:11 61K 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 19:01 61K 
[   ]py3-knowit-pyc-0.5.11-r0.apk2025-11-24 01:06 62K 
[   ]formiko-pyc-1.5.0-r0.apk2025-08-15 09:06 62K 
[   ]libsirocco-2.1.1-r0.apk2025-08-31 17:36 62K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 18:59 62K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 19:02 62K 
[   ]apache2-mod-perl-dbg-2.0.13-r2.apk2025-06-30 08:08 62K 
[   ]perl-net-curl-0.57-r1.apk2025-06-30 08:08 62K 
[   ]py3-litesata-2024.04-r0.apk2024-10-25 19:02 62K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 19:01 62K 
[   ]clevis-dbg-21-r0.apk2025-01-20 04:17 62K 
[   ]nvtop-3.2.0-r0.apk2025-04-29 23:39 62K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 19:00 62K 
[   ]py3-socketio-5.13.0-r0.apk2025-09-06 16:20 62K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 19:03 62K 
[   ]lima-doc-1.2.1-r1.apk2025-12-04 22:48 62K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-25 19:02 62K 
[   ]sloccount-2.26-r3.apk2024-10-25 19:03 62K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 19:02 62K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 19:01 62K 
[   ]py3-colander-2.0-r2.apk2024-10-25 19:02 62K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 19:04 63K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 19:01 63K 
[   ]wayfarer-1.4.0-r0.apk2025-11-07 17:28 63K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 22:45 63K 
[   ]php81-pecl-zephir_parser-1.8.0-r0.apk2025-09-29 12:34 63K 
[   ]php82-pecl-zephir_parser-1.8.0-r0.apk2025-09-29 12:34 63K 
[   ]materia-compact-gtk3-20210322-r3.apk2025-07-10 17:09 63K 
[   ]libxml++-5.4.0-r0.apk2025-02-22 14:39 63K 
[   ]materia-gtk3-20210322-r3.apk2025-07-10 17:09 63K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 19:02 63K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 21:23 63K 
[   ]tree-sitter-gleam-1.1.0-r0.apk2025-10-09 07:23 63K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 12:00 64K 
[   ]kodi-game-libretro-desmume-0.0.1.28-r0.apk2024-10-25 19:01 64KKodi
[   ]dfl-applications-0.3.0-r0.apk2025-08-21 07:47 64K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 12:00 64K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-10 03:12 64K 
[   ]9base-doc-6-r2.apk2024-10-25 18:59 64K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 15:08 64K 
[   ]kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk2024-10-25 19:01 64KKodi
[   ]ueberzug-18.3.1-r0.apk2025-02-22 15:08 64K 
[   ]charls-2.4.2-r0.apk2024-10-25 18:59 64K 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-12 13:59 64K 
[   ]gupnp-dlna-0.12.0-r1.apk2025-08-09 16:27 65K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 21:49 65K 
[   ]clipit-1.4.5-r3.apk2024-10-25 18:59 65K 
[   ]avarice-2.14-r4.apk2024-10-25 18:59 65K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 19:02 65K 
[   ]serialdv-libs-1.1.5-r0.apk2025-10-24 20:31 65K 
[   ]kismet-linux-wifi-0.202509.1-r0.apk2025-10-09 07:23 65K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 18:59 65K 
[   ]git-extras-doc-7.4.0-r0.apk2025-07-22 22:16 65K 
[   ]wiimms-iso-tools-doc-3.04a-r1.apk2024-10-25 19:04 65K 
[   ]bazel8-bash-completion-8.4.2-r0.apk2025-10-09 07:23 65K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 19:01 66K 
[   ]aravis-viewer-0.8.31-r0.apk2024-10-25 18:59 66K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 19:02 66K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 16:22 66K 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-01-31 15:05 66K 
[   ]swaks-20240103.0-r0.apk2024-10-25 19:03 66K 
[   ]php81-ffi-8.1.34-r0.apk2025-12-17 02:27 66K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 19:03 66K 
[   ]femto-2.24.1-r0.apk2025-09-06 16:20 66K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 19:03 66K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:04 67K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:00 67K 
[   ]pqiv-2.12-r1.apk2024-10-25 19:02 67K 
[   ]xsoldier-1.8-r2.apk2024-10-25 19:04 67K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-22 14:39 67K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-19 14:15 67K 
[   ]py3-bookkeeper-pyc-4.17.2-r0.apk2025-07-13 21:44 67K 
[   ]fildesh-0.2.0-r0.apk2024-10-25 19:00 67K 
[   ]heisenbridge-1.15.4-r0.apk2025-10-06 05:07 67K 
[   ]jbigkit-2.1-r2.apk2024-10-25 19:01 67K 
[   ]php82-ffi-8.2.30-r1.apk2025-12-17 20:10 67K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 22:09 68K 
[   ]py3-python-iptables-pyc-1.2.0-r1.apk2025-12-12 15:43 68K 
[   ]gnome-user-share-lang-48.2-r0.apk2025-11-23 10:48 68K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 19:02 68K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 17:03 68K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 18:59 68K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 21:48 68K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 19:02 68K 
[   ]cloud-hypervisor-doc-48.0-r0.apk2025-10-28 07:21 68K 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 07:49 68K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 19:01 68K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 19:02 68K 
[   ]php81-doc-8.1.34-r0.apk2025-12-17 02:27 68K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 09:41 68K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 18:59 68K 
[   ]fuzzylite-dev-6.0-r2.apk2025-01-31 21:53 68K 
[   ]piper-phonemize-libs-2023.11.14.4-r9.apk2025-07-12 16:07 69K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 18:59 69K 
[   ]ckb-next-daemon-0.6.2-r1.apk2025-09-06 16:20 69K 
[   ]perl-snmp-5.0404-r14.apk2025-06-30 08:08 69K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 19:02 69K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 19:02 69K 
[   ]debconf-1.5.82-r0.apk2024-10-25 18:59 69K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 19:02 69K 
[   ]lwan-libs-0.1-r2.apk2024-10-25 19:01 70K 
[   ]ffms2-5.0-r2.apk2025-09-27 14:27 70K 
[   ]hfst-doc-3.16.2-r0.apk2025-04-03 00:11 70K 
[   ]idesk-1-r1.apk2024-10-25 19:01 70K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 04:45 70K 
[   ]tpm2-pkcs11-pyc-1.9.2-r0.apk2025-12-13 16:07 70K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 19:03 70K 
[   ]nymphcast-mediaserver-0.1-r4.apk2025-08-28 21:07 70K 
[   ]php81-openssl-8.1.34-r0.apk2025-12-17 02:27 70K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 19:02 70K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 19:02 70K 
[   ]libneo4j-client-2.2.0-r3.apk2024-10-25 19:01 70K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:57 70K 
[   ]py3-irc-pyc-20.4.1-r1.apk2025-10-06 06:21 70K 
[   ]mimalloc1-insecure-1.9.4-r0.apk2025-11-07 17:28 70K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 19:02 70K 
[   ]getmail6-6.19.10-r0.apk2025-08-19 11:29 70K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 19:04 70K 
[   ]gamemode-1.8.2-r0.apk2025-02-05 23:30 71K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 19:01 71K 
[   ]lwan-0.1-r2.apk2024-10-25 19:01 71K 
[   ]gnucobol-doc-3.2-r0.apk2025-07-28 14:02 71K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-29 16:00 71K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 18:59 71K 
[   ]php82-openssl-8.2.30-r1.apk2025-12-17 20:10 71K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 19:01 71K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 19:00 71K 
[   ]perl-encode-detect-1.01-r1.apk2025-06-30 08:08 71K 
[   ]elementary-calculator-8.0.1-r0.apk2025-09-02 02:29 72K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 19:03 72K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 19:01 72K 
[   ]viewnior-1.8-r1.apk2024-10-25 19:03 72K 
[   ]tcmalloc-2.17-r0.apk2025-08-05 13:00 72K 
[   ]py3-latex2mathml-3.78.1-r1.apk2025-09-29 19:06 72K 
[   ]py3-criu-pyc-3.19-r3.apk2025-06-12 13:59 72K 
[   ]hping3-20051105-r4.apk2024-10-25 19:01 72K 
[   ]openfire-plugins-4.8.1-r1.apk2024-12-03 15:45 72K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 19:02 72K 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:57 72K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 19:01 72K 
[   ]b2-tools-4.3.2-r0.apk2025-05-03 10:05 72K 
[   ]normaliz-dev-3.10.4-r3.apk2025-11-26 08:24 73K 
[   ]py3-ovos-utils-0.8.1-r0.apk2025-07-15 20:03 73K 
[   ]budgie-screensaver-5.1.0-r0.apk2025-10-16 17:07 73K 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:06 73K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 19:03 73K 
[   ]errands-lang-46.2.9-r0.apk2025-10-23 08:13 73K 
[   ]afew-3.0.1-r0.apk2025-05-18 22:30 73K 
[   ]screenkey-pyc-1.5-r7.apk2025-07-22 22:16 73K 
[   ]php82-doc-8.2.30-r1.apk2025-12-17 20:10 73K 
[   ]kraftkit-doc-0.12.3-r1.apk2025-12-04 22:48 73K 
[   ]yaru-theme-hdpi-25.10.1-r0.apk2025-07-13 20:17 73K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 19:03 73K 
[   ]fastd-23-r0.apk2025-01-29 16:00 73K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 19:02 74K 
[   ]kodi-game-libretro-dosbox-0.74.0.25-r0.apk2024-10-25 19:01 74KKodi
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 19:02 74K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-05-26 09:05 74K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 22:45 74K 
[   ]planarity-libs-4.0.1.0-r0.apk2025-10-19 05:35 74K 
[   ]xa-2.4.1-r0.apk2025-02-25 12:36 74K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-22 10:33 74K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 19:01 74K 
[   ]pympress-doc-1.8.6-r0.apk2025-11-10 05:46 75K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 19:01 75K 
[   ]pypy-dev-7.3.19-r0.apk2025-11-01 15:12 75K 
[   ]proot-5.4.0-r1.apk2024-10-25 19:02 75K 
[   ]py3-minio-7.2.18-r0.apk2025-11-10 03:17 75K 
[   ]py3-aesedb-pyc-0.1.8-r0.apk2025-11-03 08:48 75K 
[   ]mkosi-doc-26-r0.apk2025-12-17 18:30 75K 
[   ]p0f-3.09b-r3.apk2024-10-25 19:02 75K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 20:49 75K 
[   ]mkrundir-0.4.0-r1.apk2024-11-29 00:08 75K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 19:01 75K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 19:02 75K 
[   ]highfive-2.10.1-r0.apk2025-01-15 02:50 75K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 19:02 75K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 19:01 76K 
[   ]grpc-java-1.77.0-r0.apk2025-11-18 16:12 76K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 19:02 76K 
[   ]screenkey-1.5-r7.apk2025-07-22 22:16 76K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 19:02 76K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 19:02 77K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-10-25 19:02 77K 
[   ]mimalloc1-1.9.4-r0.apk2025-11-07 17:28 77K 
[   ]soundconverter-pyc-4.1.1-r0.apk2025-07-29 13:12 77K 
[   ]libeantic-2.1.0-r2.apk2025-11-26 08:24 77K 
[   ]libabigail-doc-2.8-r0.apk2025-11-02 19:38 77K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 19:02 77K 
[   ]py3-hishel-0.1.4-r0.apk2025-10-15 12:53 77K 
[   ]php81-mysqlnd-8.1.34-r0.apk2025-12-17 02:27 77K 
[   ]lomiri-action-api-1.2.1-r0.apk2025-10-25 20:19 77K 
[   ]php82-mysqlnd-8.2.30-r1.apk2025-12-17 20:10 77K 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 19:02 77K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-17 17:29 77K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 19:02 77K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 18:59 77K 
[   ]kronosnet-1.30-r0.apk2025-05-27 23:07 77K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-10 00:53 77K 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 07:49 77K 
[   ]wlroots0.17-dev-0.17.4-r3.apk2025-08-13 08:04 77K 
[   ]flowd-0.9.1-r11.apk2025-06-30 08:08 77K 
[   ]nb-doc-7.19.1-r0.apk2025-05-24 22:10 77K 
[   ]boxes-2.3.1-r0.apk2024-10-25 18:59 77K 
[   ]curtail-lang-1.13.0-r0.apk2025-07-05 20:40 78K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 19:02 78K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 19:01 78K 
[   ]razercfg-0.42-r7.apk2024-10-25 19:02 78K 
[   ]perl-pango-1.227-r12.apk2025-06-30 08:08 78K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 19:03 78K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 19:01 78K 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 19:02 78K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-17 04:04 78K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-14 18:16 78K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-14 18:16 78K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 19:02 78K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 19:02 78K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-04-28 19:57 78K 
[   ]musikcube-plugin-httpdatastream-3.0.5-r0.apk2025-09-27 21:15 79K 
[   ]gupnp-av-0.14.4-r1.apk2025-08-09 16:27 79K 
[   ]py3-solidpython-1.1.2-r2.apk2024-10-25 19:02 79K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 19:03 79K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 19:02 79K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 19:01 79K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-19 15:30 79K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 19:02 79K 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 19:01 79K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 18:59 79K 
[   ]gearman-libs-1.1.22-r0.apk2025-09-06 16:20 79K 
[   ]py3-apt-lang-3.1.0-r0.apk2025-12-21 03:05 80K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 19:02 80K 
[   ]tcmalloc-profiler-2.17-r0.apk2025-08-05 13:00 80K 
[   ]btpd-0.16-r2.apk2024-10-25 18:59 80K 
[   ]ab-tidy-0.1.0-r2.apk2025-02-23 20:55 80K 
[   ]perl-net-amqp-rabbitmq-2.40014-r1.apk2025-11-21 04:18 80K 
[   ]reprotest-0.7.32-r0.apk2025-11-02 14:06 80K 
[   ]cpplint-2.0.2-r0.apk2025-04-13 23:26 80K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 19:01 80K 
[   ]vit-2.3.2-r1.apk2024-10-25 19:03 80K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 19:01 81K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 19:01 81K 
[   ]mimedefang-doc-3.6-r1.apk2025-10-06 01:15 81K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 19:01 81K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 19:02 81K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 19:02 81K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 19:03 82K 
[   ]lua5.1-libguestfs-1.56.1-r0.apk2025-07-22 22:16 82K 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-25 19:03 82K 
[   ]py3-liteiclink-2024.04-r0.apk2024-10-25 19:02 82K 
[   ]py3-fastavro-pyc-1.12.1-r0.apk2025-10-14 01:58 82K 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-05-26 09:05 82K 
[   ]silc-client-doc-1.1.11-r18.apk2025-06-30 08:08 82K 
[   ]spiped-1.6.4-r0.apk2025-12-04 22:48 82K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 19:01 82K 
[   ]getssl-2.48-r0.apk2024-10-25 19:01 82K 
[   ]py3-unearth-pyc-0.18.0-r0.apk2025-10-14 14:54 82K 
[   ]perl-pango-doc-1.227-r12.apk2025-06-30 08:08 82K 
[   ]katarakt-0.2-r1.apk2025-01-29 20:02 83K 
[   ]wlroots0.18-dev-0.18.3-r0.apk2025-11-28 23:54 83K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 12:00 83K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 19:02 83K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 22:08 83K 
[   ]perl-net-idn-encode-2.500-r2.apk2025-06-30 08:08 83K 
[   ]thefuck-3.32-r5.apk2024-10-25 19:03 83K 
[   ]elementary-videos-lang-8.0.2-r0.apk2025-09-02 02:28 83K 
[   ]duc-1.4.5-r0.apk2024-10-25 19:00 84K 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 05:05 84K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 19:01 84K 
[   ]errands-46.2.9-r0.apk2025-10-23 08:13 84K 
[   ]py3-asyauth-0.0.23-r0.apk2025-11-03 08:48 84K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 19:02 84K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-12 13:59 84K 
[   ]dbus-broker-37-r0.apk2025-06-17 10:22 85K 
[   ]firehol-3.1.7-r2.apk2024-10-25 19:01 85K 
[   ]cpp-httplib-0.28.0-r0.apk2025-11-26 13:52 85K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-22 14:39 85K 
[   ]elementary-camera-8.0.2-r0.apk2025-09-02 02:25 85K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:48 85K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 19:03 85K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 19:01 86K 
[   ]neofetch-7.1.0-r2.apk2024-11-07 11:08 86K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 19:02 86K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 19:02 86K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk2025-11-22 13:32 86K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 19:02 86K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 18:59 86K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 18:59 86K 
[   ]vim-airline-0.11-r0.apk2024-10-25 19:03 86K 
[   ]py3-ovos-plugin-manager-1.0.3-r0.apk2025-07-15 19:34 86K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 19:04 87K 
[   ]kodi-inputstream-rtmp-21.1.2-r0.apk2025-03-02 16:15 87KKodi
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 19:03 87K 
[   ]aide-0.19.2-r0.apk2025-09-06 16:20 87K 
[   ]gtkhash-1.5-r0.apk2024-10-25 19:01 87K 
[   ]php84-pecl-solr-2.9.1-r0.apk2025-11-25 05:10 87K 
[   ]php85-pecl-solr-2.9.1-r0.apk2025-11-25 05:10 88K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-24 22:57 88K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 18:59 88K 
[   ]gpsbabel-lang-1.10.0-r0.apk2025-11-07 17:28 88K 
[   ]lfm-3.1-r4.apk2024-10-25 19:01 88K 
[   ]lavacli-pyc-2.4-r0.apk2025-06-15 03:57 88K 
[   ]gupnp-1.6.9-r1.apk2025-08-09 16:27 88K 
[   ]py3-asysocks-0.2.18-r0.apk2025-11-03 08:48 88K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 19:01 89K 
[   ]dodo-pyc-0_git20250926-r0.apk2025-09-27 21:58 89K 
[   ]limkd-0.1.2-r0.apk2024-10-25 19:01 89K 
[   ]barman-doc-3.16.2-r0.apk2025-11-20 22:18 89K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 19:02 89K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-04-25 10:49 89K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-25 23:56 89K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 19:02 89K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 19:01 89K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 19:01 89K 
[   ]hare-adwaita-0.1.0-r0.apk2025-08-25 17:31 90K 
[   ]libigraph-dev-1.0.0-r0.apk2025-10-28 01:54 90K 
[   ]xtl-0.8.1-r0.apk2025-10-27 13:49 90K 
[   ]subliminal-2.4.0-r0.apk2025-11-24 01:06 90K 
[   ]perl-finance-quote-doc-1.68-r0.apk2025-12-22 10:54 90K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 19:02 90K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 19:01 90K 
[   ]powerctl-1.1-r6.apk2025-04-18 16:34 90K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 19:02 90K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-14 18:16 90K 
[   ]monopd-0.10.4-r0.apk2025-01-11 11:13 91K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 05:11 91K 
[   ]criu-dev-3.19-r3.apk2025-06-12 13:59 91K 
[   ]py3-tidalapi-pyc-0.8.4-r0.apk2025-07-12 16:08 91K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 19:02 91K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 19:02 91K 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 18:59 91K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-10 03:12 91K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 19:03 91K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 19:02 92K 
[   ]anarch-1.0-r1.apk2024-10-25 18:59 92K 
[   ]kodi-audioencoder-lame-20.3.0-r1.apk2024-10-25 19:01 92KKodi
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 18:59 92K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 10:09 92K 
[   ]py3-unicrypto-pyc-0.0.12-r0.apk2025-11-03 08:48 92K 
[   ]py3-ovos-workshop-7.0.6-r0.apk2025-07-15 19:29 92K 
[   ]py3-unicorn-pyc-2.1.4-r0.apk2025-10-14 14:38 92K 
[   ]video-trimmer-lang-25.03-r0.apk2025-06-30 13:45 93K 
[   ]perl-net-dbus-1.2.0-r0.apk2025-12-13 07:45 93K 
[   ]csfml-2.5.2-r0.apk2024-10-25 18:59 93K 
[   ]libsemanage-3.6-r1.apk2024-10-25 19:01 93K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-21 16:21 93K 
[   ]ruby-build-20250925-r0.apk2025-09-28 15:45 93K 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 19:01 93K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 18:59 93K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 19:01 93K 
[   ]kodi-vfs-sacd-20.1.0-r1.apk2024-10-25 19:01 93KKodi
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 19:01 94K 
[   ]py3-qdldl-0.1.5-r4.apk2024-10-25 19:02 94K 
[   ]simavr-1.7-r1.apk2024-10-25 19:03 94K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-14 18:16 94K 
[   ]perl-net-dbus-doc-1.2.0-r0.apk2025-12-13 07:45 94K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 19:02 94K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 19:03 94K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-18 08:46 94K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 19:01 94K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 19:02 94K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 19:01 95K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 19:02 95K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 19:02 95K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:32 95K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 19:03 95K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 08:45 95K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 23:37 95K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-14 18:16 95K 
[   ]libbraiding-1.3.1-r0.apk2024-11-17 04:04 95K 
[   ]care-2.3.0-r1.apk2024-10-25 18:59 95K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 19:02 95K 
[   ]eclib-dev-20250627-r2.apk2025-11-26 08:24 96K 
[   ]llvm-next-lit-22.0.0_pre20251108-r0.apk2025-11-09 09:38 96K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 19:01 96K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 19:03 96K 
[   ]libblastrampoline-dev-5.2.0-r0.apk2024-10-25 19:01 96K 
[   ]memray-pyc-1.19.1-r0.apk2025-11-08 15:41 96K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r5.apk2025-12-18 14:24 97K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 19:03 97K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 19:02 97K 
[   ]libctl-4.5.1-r1.apk2024-10-25 19:01 97K 
[   ]openjfx-doc-21.0.3_p1-r0.apk2024-10-25 19:02 97K 
[   ]py3-chameleon-4.6.0-r0.apk2025-07-06 09:16 97K 
[   ]qdjango-0.6.2-r1.apk2024-10-25 19:02 97K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-10 00:53 97K 
[   ]himitsu-git-0.9.0-r0.apk2025-08-20 16:37 97K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 07:49 98K 
[   ]perl-libapreq2-2.17-r3.apk2025-06-30 08:08 98K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 19:02 98K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 19:02 98K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 19:02 98K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 21:15 98K 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 21:22 98K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 19:02 98K 
[   ]py3-drf-yasg-pyc-1.21.10-r0.apk2025-07-15 08:26 98K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-11 11:19 99K 
[   ]mpdcron-0.3-r1.apk2024-10-25 19:02 99K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-02 03:03 99K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 19:03 99K 
[   ]guish-2.6.11-r0.apk2024-12-24 10:42 99K 
[   ]idevicerestore-1.0.0_git20250914-r0.apk2025-10-06 17:37 99K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 18:59 99K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-13 23:26 99K 
[   ]predict-2.3.1-r0.apk2024-11-24 10:45 100K 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 18:59 100K 
[   ]py3-pyinstrument-pyc-5.1.1-r0.apk2025-08-13 08:04 101K 
[   ]nbsdgames-5-r0.apk2024-10-25 19:02 101K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 19:03 101K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 19:01 101K 
[   ]interception-tools-0.6.8-r3.apk2025-10-12 14:19 101K 
[   ]nm-tray-0.5.1-r0.apk2025-09-11 17:35 101K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 19:03 101K 
[   ]hpnssh-doc-18.8.0-r0.apk2025-11-28 19:38 101K 
[   ]singular-emacs-4.4.1_p2-r1.apk2025-11-26 08:24 102K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 19:02 102K 
[   ]tachyon-0.99_beta6-r2.apk2025-12-10 18:29 102K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 19:02 102K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 19:01 102K 
[   ]tcmalloc-minimal-debug-2.17-r0.apk2025-08-05 13:00 102K 
[   ]php81-pecl-imagick-3.8.1-r0.apk2025-11-28 00:06 102K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 19:03 102K 
[   ]php82-pecl-imagick-3.8.1-r0.apk2025-11-28 00:06 102K 
[   ]gtimelog-pyc-0.12_git20251114-r0.apk2025-11-18 20:53 102K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 19:02 103K 
[   ]py3-liteeth-2024.04-r0.apk2024-10-25 19:02 103K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 19:01 103K 
[   ]reprotest-pyc-0.7.32-r0.apk2025-11-02 14:06 103K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 19:02 103K 
[   ]dooit-pyc-3.3.3-r0.apk2025-10-27 08:46 103K 
[   ]rizin-cutter-dev-2.4.1-r0.apk2025-06-30 16:49 103K 
[   ]getmail6-pyc-6.19.10-r0.apk2025-08-19 11:29 103K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 19:02 104K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 19:02 104K 
[   ]gtypist-doc-2.10.1-r0.apk2025-10-12 20:59 104K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 19:01 104K 
[   ]catfish-pyc-4.20.1-r0.apk2025-07-07 19:17 104K 
[   ]py3-osqp-0.6.2-r6.apk2024-10-25 19:02 104K 
[   ]py3-engineio-pyc-4.12.3-r0.apk2025-11-27 09:35 104K 
[   ]moe-1.14-r0.apk2024-10-25 19:02 104K 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 19:02 104K 
[   ]pithos-1.6.1-r0.apk2024-10-25 19:02 104K 
[   ]fceux-doc-2.6.6-r4.apk2025-09-27 14:27 105K 
[   ]lkrg-0.9.6-r1.apk2025-01-09 11:44 105K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 19:02 105K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 19:01 105K 
[   ]php82-spx-0.4.21-r0.apk2025-10-24 14:48 105K 
[   ]php81-spx-0.4.21-r0.apk2025-10-09 07:23 105K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 19:02 105K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 19:01 106K 
[   ]kronosnet-doc-1.30-r0.apk2025-05-27 23:07 106K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 19:03 106K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 20:17 106K 
[   ]ruby-libguestfs-1.56.1-r0.apk2025-07-22 22:16 106K 
[   ]lomiri-gallery-app-lang-3.0.2-r2.apk2025-08-19 09:09 106K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 08:45 106K 
[   ]perl-sys-virt-doc-11.10.0-r0.apk2025-12-03 16:17 106K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 19:02 107K 
[   ]ssh-studio-pyc-1.3.1-r0.apk2025-10-12 20:35 107K 
[   ]perl-software-license-0.104007-r0.apk2025-07-08 16:53 107K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 19:02 107K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-02 03:03 107K 
[   ]soundconverter-lang-4.1.1-r0.apk2025-07-29 13:12 107K 
[   ]formiko-1.5.0-r0.apk2025-08-15 09:06 107K 
[   ]belr-5.3.105-r0.apk2025-02-25 12:52 107K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 19:01 107K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 19:02 107K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 19:02 107K 
[   ]crispy-doom-doc-7.1-r0.apk2025-09-24 02:49 107K 
[   ]detox-2.0.0-r0.apk2024-10-25 18:59 108K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 18:59 108K 
[   ]lomiri-settings-components-lang-1.1.3-r0.apk2025-10-25 20:19 108K 
[   ]mediastreamer2-doc-5.3.112-r1.apk2025-10-19 13:36 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 19:00 108K 
[   ]gnome-latex-doc-3.48.0-r0.apk2025-04-07 22:01 108K 
[   ]catdoc-0.95-r1.apk2024-10-25 18:59 108K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 19:00 108K 
[   ]py3-litepcie-2024.04-r0.apk2024-10-25 19:02 108K 
[   ]libneo4j-client-dev-2.2.0-r3.apk2024-10-25 19:01 108K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 19:01 108K 
[   ]lomiri-telephony-service-lang-0.6.2-r1.apk2025-12-19 11:40 109K 
[   ]py3-pyinstrument-5.1.1-r0.apk2025-08-13 08:04 109K 
[   ]zapzap-6.0.1.8-r0.apk2025-04-13 23:48 109K 
[   ]ovos-dinkum-listener-0.4.1-r0.apk2025-07-15 20:03 109K 
[   ]bazaar-lang-0.5.8-r0.apk2025-11-02 09:54 109K 
[   ]py3-dnslib-pyc-0.9.26-r0.apk2025-09-01 18:15 109K 
[   ]bake-2.5.1-r0.apk2024-10-25 18:59 109K 
[   ]py3-lxmf-pyc-0.8.0-r0.apk2025-09-23 22:32 109K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 19:02 109K 
[   ]way-displays-1.15.0-r0.apk2025-09-30 09:47 109K 
[   ]mediastreamer2-dev-5.3.112-r1.apk2025-10-19 13:36 110K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 19:02 110K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 19:01 110K 
[   ]icingaweb2-module-businessprocess-2.5.2-r0.apk2025-08-13 15:54 110K 
[   ]hypnotix-3.5-r0.apk2024-10-25 19:01 110K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 18:59 110K 
[   ]deblob-0.12-r0.apk2025-10-14 22:47 110K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 19:02 110K 
[   ]py3-joserfc-pyc-1.5.0-r0.apk2025-12-04 22:48 110K 
[   ]budgie-session-0.9.1-r0.apk2025-10-16 17:07 110K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-24 23:02 111K 
[   ]proot-static-5.4.0-r1.apk2024-10-25 19:02 111K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 19:02 111K 
[   ]maxima-emacs-5.48.1-r9.apk2025-08-22 10:16 111K 
[   ]kodi-vfs-libarchive-21.0.2-r0.apk2025-03-02 16:15 111KKodi
[   ]emacs-embark-1.1-r0.apk2025-04-23 05:42 111K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 19:02 111K 
[   ]compiz-pyc-0.9.14.2-r13.apk2025-11-29 00:00 111K 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 19:02 112K 
[   ]treecat-1.0.2_git20240706-r1.apk2024-11-29 13:19 112K 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 19:02 112K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 18:59 112K 
[   ]mangowc-0.10.8-r0.apk2025-12-20 23:32 113K 
[   ]otpclient-4.1.1-r0.apk2025-07-22 22:44 113K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 19:02 113K 
[   ]py3-caldav-2.2.3-r0.apk2025-12-07 19:14 113K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 18:59 113K 
[   ]whipper-0.10.0-r5.apk2024-10-25 19:04 113K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 18:59 113K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 19:01 113K 
[   ]libnih-1.0.3-r7.apk2024-10-25 19:01 113K 
[   ]x11docker-7.6.0-r1.apk2024-10-25 19:04 113K 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 18:59 114K 
[   ]elementary-videos-8.0.2-r0.apk2025-09-02 02:28 114K 
[   ]perl-finance-quote-1.68-r0.apk2025-12-22 10:54 114K 
[   ]one-dnn-dev-3.1-r0.apk2024-10-25 19:02 114K 
[   ]wasmtime-dev-39.0.1-r0.apk2025-12-08 21:02 114K 
[   ]tcmalloc-debug-2.17-r0.apk2025-08-05 13:00 115K 
[   ]hatch-1.16.1-r0.apk2025-11-30 20:01 115K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 19:02 115K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 19:01 116K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 19:01 116K 
[   ]kodi-game-libretro-20.1.0-r0.apk2024-10-25 19:01 117KKodi
[   ]compiz-dev-0.9.14.2-r13.apk2025-11-29 00:00 117K 
[   ]fungw-fawk-1.2.2-r0.apk2025-09-19 18:26 117K 
[   ]sbase-0_git20210730-r3.apk2024-10-25 19:03 118K 
[   ]hyprpicker-0.4.5-r1.apk2025-12-14 11:02 118K 
[   ]shellinabox-2.21-r3.apk2024-10-25 19:03 118K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 07:52 118K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 19:03 118K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 19:01 118K 
[   ]qt6-qtgraphs-dev-6.10.1-r0.apk2025-12-10 18:29 118K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 19:01 118K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 19:04 119K 
[   ]deviced-0_git20250427-r0.apk2025-07-05 20:03 119K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 19:03 119K 
[   ]py3-apt-pyc-3.1.0-r0.apk2025-12-21 03:05 119K 
[   ]zile-2.6.2-r1.apk2024-10-25 19:04 119K 
[   ]perl-git-raw-doc-0.90-r4.apk2025-06-30 08:08 119K 
[   ]osmctools-0.9-r0.apk2024-10-25 19:02 119K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-22 10:33 119K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 19:01 120K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:10 120K 
[   ]py3-solidpython-pyc-1.1.2-r2.apk2024-10-25 19:02 120K 
[   ]php81-phar-8.1.34-r0.apk2025-12-17 02:27 120K 
[   ]faust-tools-2.79.3-r0.apk2025-06-07 17:01 120K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 20:02 120K 
[   ]php82-phar-8.2.30-r1.apk2025-12-17 20:10 121K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 19:01 121K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 08:45 121K 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 07:16 121K 
[   ]cproc-dbg-0_git20240427-r1.apk2024-11-03 21:51 121K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-17 04:04 121K 
[   ]haredo-1.0.5-r1.apk2024-11-29 00:08 122K 
[   ]nullmailer-2.2-r4.apk2024-10-25 19:02 122K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 19:02 122K 
[   ]libabigail-tools-2.8-r0.apk2025-11-02 19:38 123K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 19:02 123K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 19:02 123K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 19:02 123K 
[   ]php82-gd-8.2.30-r1.apk2025-12-17 20:10 123K 
[   ]php81-gd-8.1.34-r0.apk2025-12-17 02:27 123K 
[   ]py3-minikerberos-0.4.9-r0.apk2025-11-03 08:48 123K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 19:01 123K 
[   ]lomiri-thumbnailer-doc-3.1.0-r0.apk2025-12-09 11:10 124K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 18:59 124K 
[   ]hw-probe-1.6.6-r2.apk2025-06-30 08:08 124K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 18:59 124K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 19:03 124K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 18:59 125K 
[   ]odyssey-1.3-r3.apk2024-10-25 19:02 125K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 18:59 125K 
[   ]llvm-next-openmp-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 125K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 19:02 125K 
[   ]himitsu-totp-0.9-r0.apk2025-09-06 16:20 125K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 19:01 125K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 18:59 126K 
[   ]zapzap-pyc-6.0.1.8-r0.apk2025-04-13 23:48 126K 
[   ]primesieve-libs-12.10-r0.apk2025-11-25 19:08 126K 
[   ]stgit-doc-2.4.7-r1.apk2024-10-25 19:03 126K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 19:01 126K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 08:45 126K 
[   ]arj-0_git20220125-r1.apk2024-10-25 18:59 127K 
[   ]py3-msldap-0.5.15-r2.apk2025-10-17 16:26 127K 
[   ]topgit-0.19.13-r1.apk2024-10-25 19:03 127K 
[   ]py3-socketio-pyc-5.13.0-r0.apk2025-09-06 16:20 127K 
[   ]ruby-byebug-12.0.0-r0.apk2025-10-21 04:12 127K 
[   ]gcli-2.9.1-r0.apk2025-11-09 16:39 127K 
[   ]tomcat9-admin-9.0.112-r0.apk2025-12-01 20:49 127K 
[   ]kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk2024-10-25 19:01 127KKodi
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 18:59 128K 
[   ]catfish-4.20.1-r0.apk2025-07-07 19:17 128K 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 19:04 128K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 19:02 128K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 19:02 129K 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-24 23:02 129K 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 20:17 129K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 12:00 129K 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 19:02 129K 
[   ]typobuster-1.0.0-r0.apk2025-04-23 22:26 129K 
[   ]conserver-8.2.7-r0.apk2025-12-18 19:45 130K 
[   ]tpm2-pkcs11-1.9.2-r0.apk2025-12-13 16:07 130K 
[   ]py3-dbus-fast-pyc-3.1.2-r0.apk2025-11-23 21:15 130K 
[   ]py3-ovos-utils-pyc-0.8.1-r0.apk2025-07-15 20:03 130K 
[   ]py3-chameleon-pyc-4.6.0-r0.apk2025-07-06 09:16 131K 
[   ]kpatch-0.9.11-r1.apk2025-11-17 13:11 131K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 18:59 131K 
[   ]piper-tts-2023.11.14.2-r14.apk2025-07-12 16:07 131K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 12:00 131K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 18:59 132K 
[   ]xosview-1.24-r0.apk2024-10-25 19:04 133K 
[   ]py3-marisa-trie-1.3.1-r0.apk2025-08-29 09:31 133K 
[   ]wolfssh-1.4.17-r1.apk2025-09-22 01:17 133K 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 18:59 133K 
[   ]py3-pdoc-15.0.4-r0.apk2025-10-12 21:20 133K 
[   ]perl-astro-satpass-doc-0.134-r0.apk2025-09-18 17:18 133K 
[   ]php81-intl-8.1.34-r0.apk2025-12-17 02:27 133K 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 17:37 133K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 19:02 133K 
[   ]neard-0.19-r0.apk2024-10-25 19:02 133K 
[   ]php81-soap-8.1.34-r0.apk2025-12-17 02:27 133K 
[   ]wayqt-0.3.0-r1.apk2025-08-21 07:47 134K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 19:01 134K 
[   ]keepsecret-1.0.0-r0.apk2025-12-15 16:22 134K 
[   ]ruri-3.9.3-r0.apk2025-10-27 08:46 134K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 19:01 134K 
[   ]perl-mce-1.902-r0.apk2025-09-10 04:00 134K 
[   ]minigalaxy-pyc-1.4.0-r0.apk2025-07-11 03:36 135K 
[   ]bootchart2-0.14.9-r1.apk2025-11-17 13:11 135K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:10 135K 
[   ]php82-soap-8.2.30-r1.apk2025-12-17 20:10 135K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-22 14:39 135K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-01 06:46 135K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-03 10:05 136K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 19:01 136K 
[   ]ovos-audio-1.0.1-r0.apk2025-07-15 20:03 136K 
[   ]river-bedload-0.1.1_git20250823-r0.apk2025-09-22 01:17 137K 
[   ]py3-confusable-homoglyphs-3.3.1-r0.apk2025-09-26 12:58 137K 
[   ]hyprsunset-0.3.3-r1.apk2025-12-14 11:02 137K 
[   ]remake-1.5-r1.apk2024-10-25 19:03 137K 
[   ]lomiri-docviewer-app-lang-3.1.2-r0.apk2025-08-19 20:09 138K 
[   ]hypridle-0.1.7-r1.apk2025-12-14 11:02 138K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 19:00 138K 
[   ]libtins-dev-4.5-r2.apk2025-10-15 08:21 138K 
[   ]getmail6-doc-6.19.10-r0.apk2025-08-19 11:29 139K 
[   ]bochs-doc-2.8-r1.apk2025-02-22 14:38 139K 
[   ]obnc-0.17.2-r0.apk2025-05-25 23:37 139K 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 19:02 139K 
[   ]gtranslator-49.0-r0.apk2025-09-15 07:46 139K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 19:02 139K 
[   ]motion-doc-4.7.1-r0.apk2025-09-27 21:15 140K 
[   ]brltty-dev-6.7-r1.apk2025-04-03 00:11 140K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 19:01 141K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 19:02 141K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 19:03 141K 
[   ]piper-tts-dev-2023.11.14.2-r14.apk2025-07-12 16:07 141K 
[   ]fluent-bit-dev-4.2.0-r0.apk2025-12-04 22:48 141K 
[   ]libsimplebluez-0.10.3-r0.apk2025-07-11 03:12 142K 
[   ]klevernotes-lang-1.1.0-r0.apk2024-10-25 19:01 142K 
[   ]gatling-0.16-r6.apk2024-10-25 19:01 142K 
[   ]motion-4.7.1-r0.apk2025-09-27 21:15 142K 
[   ]rauc-1.10.1-r0.apk2024-10-25 19:02 143K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 19:02 143K 
[   ]py3-piper-phonemize-2023.11.14.4-r9.apk2025-07-12 16:07 143K 
[   ]mapnik-doc-4.1.4-r0.apk2025-12-04 22:48 143K 
[   ]terminology-lang-1.14.0-r0.apk2025-05-27 22:49 143K 
[   ]php81-pecl-protobuf-4.32.1-r0.apk2025-10-01 01:59 143K 
[   ]nomadnet-0.8.0-r0.apk2025-09-23 22:32 143K 
[   ]php82-pecl-protobuf-4.32.1-r0.apk2025-10-24 14:48 143K 
[   ]tinygltf-2.9.7-r0.apk2025-11-02 20:50 143K 
[   ]py3-hishel-pyc-0.1.4-r0.apk2025-10-15 12:53 144K 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 19:01 144K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 19:01 145K 
[   ]mir-demos-2.25.2-r0.apk2025-12-18 14:24 145K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 19:03 145K 
[   ]smplxmpp-0.9.3-r5.apk2025-10-12 12:09 146K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 19:03 146K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 19:02 147K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 19:02 148K 
[   ]bionic_translation-dbg-0_git20251008-r0.apk2025-10-09 07:23 148K 
[   ]perl-ffi-platypus-doc-2.10-r1.apk2025-06-30 08:08 148K 
[   ]sdparm-1.12-r1.apk2024-10-25 19:03 148K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 19:02 148K 
[   ]brltty-lang-6.7-r1.apk2025-04-03 00:11 149K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 17:03 149K 
[   ]zutty-0.16-r0.apk2025-01-12 21:00 149K 
[   ]epic6-script-0_git20250821-r0.apk2025-09-06 16:20 149K 
[   ]py3-duckdb-pyc-1.4.0-r0.apk2025-10-04 11:04 149K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 19:01 149K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-21 16:21 150K 
[   ]php82-intl-8.2.30-r1.apk2025-12-17 20:10 150K 
[   ]cscope-15.9-r1.apk2024-10-25 18:59 151K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 19:03 151K 
[   ]rivercarro-0.6.0-r0.apk2025-10-06 21:15 152K 
[   ]cosmopolitan-doc-1.0-r0.apk2024-10-25 18:59 152K 
[   ]nb-7.19.1-r0.apk2025-05-24 22:10 152K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 19:03 153K 
[   ]apostrophe-pyc-3.4-r0.apk2025-09-30 09:36 153K 
[   ]mimedefang-3.6-r1.apk2025-10-06 01:15 154K 
[   ]apostrophe-3.4-r0.apk2025-09-30 09:36 154K 
[   ]php81-pecl-xdebug-3.5.0-r0.apk2025-12-04 22:56 154K 
[   ]php82-pecl-xdebug-3.5.0-r0.apk2025-12-04 22:56 154K 
[   ]libemf2svg-1.1.0-r3.apk2025-10-06 11:28 154K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-29 16:00 154K 
[   ]py3-caldav-pyc-2.2.3-r0.apk2025-12-07 19:14 154K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 19:02 154K 
[   ]py3-rst2pdf-0.102-r0.apk2025-06-10 18:55 155K 
[   ]heisenbridge-pyc-1.15.4-r0.apk2025-10-06 05:07 155K 
[   ]py3-minio-pyc-7.2.18-r0.apk2025-11-10 03:17 155K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 19:02 155K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 19:02 156K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 19:03 156K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 19:01 156K 
[   ]malcontent-0.13.1-r0.apk2025-09-03 21:08 157K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 19:02 157K 
[   ]wok-3.0.0-r6.apk2024-10-25 19:04 157K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 19:02 157K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-04-28 19:57 157K 
[   ]mmix-0_git20221025-r0.apk2024-10-25 19:02 157K 
[   ]click-0.5.2-r4.apk2025-02-22 14:38 157K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:55 157K 
[   ]libntl-dev-11.6.0-r0.apk2025-11-08 07:11 157K 
[   ]dsp-2.0-r2.apk2025-09-27 14:27 158K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 19:01 158K 
[   ]tinyemu-2019.12.21-r0.apk2025-05-25 23:35 158K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 18:59 159K 
[   ]pidif-0.1-r1.apk2024-10-25 19:02 159K 
[   ]emacs-company-1.0.2-r0.apk2025-12-12 10:06 159K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 19:01 160K 
[   ]iotas-lang-0.12.5-r0.apk2025-11-18 20:53 160K 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 07:49 160K 
[   ]kodi-game-libretro-frodo-0.0.1.25-r0.apk2024-10-25 19:01 160KKodi
[   ]srain-1.8.1-r0.apk2025-06-27 10:32 161K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 19:02 161K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 19:01 161K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 19:02 162K 
[   ]llvm-next-lit-pyc-22.0.0_pre20251108-r0.apk2025-11-09 09:38 162K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:54 163K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 19:02 164K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-19 14:15 164K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:10 164K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 19:01 164K 
[   ]fava-pyc-1.28-r0.apk2024-10-25 19:00 164K 
[   ]convert2json-rsv-json-2.4.1-r0.apk2025-12-15 16:18 164K 
[   ]soundconverter-4.1.1-r0.apk2025-07-29 13:12 165K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 19:03 165K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 19:01 165K 
[   ]sydbox-doc-3.45.2-r0.apk2025-12-03 02:46 165K 
[   ]py3-ovos-workshop-pyc-7.0.6-r0.apk2025-07-15 19:29 165K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 19:02 166K 
[   ]libqd-2.3.24-r0.apk2024-10-25 19:01 166K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 19:04 166K 
[   ]mame-plugins-0.251-r0.apk2024-10-25 19:02 166K 
[   ]catfish-lang-4.20.1-r0.apk2025-07-07 19:17 167K 
[   ]py3-ovos-plugin-manager-pyc-1.0.3-r0.apk2025-07-15 19:34 167K 
[   ]perl-x11-xcb-0.24-r0.apk2025-12-13 07:45 167K 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r5.apk2025-12-18 14:24 168K 
[   ]himitsu-firefox-0.6-r1.apk2024-11-29 00:08 168K 
[   ]perl-git-raw-0.90-r4.apk2025-06-30 08:08 169K 
[   ]py3-pdoc-pyc-15.0.4-r0.apk2025-10-12 21:20 169K 
[   ]py3-apt-3.1.0-r0.apk2025-12-21 03:05 169K 
[   ]burp-3.1.4-r0.apk2024-10-25 18:59 170K 
[   ]gnome-mahjongg-lang-49.0.1-r0.apk2025-10-18 21:03 170K 
[   ]zvbi-0.2.44-r0.apk2025-03-12 01:07 170K 
[   ]wolfssh-dev-1.4.17-r1.apk2025-09-22 01:17 171K 
[   ]perl-mce-doc-1.902-r0.apk2025-09-10 04:00 171K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-19 14:15 171K 
[   ]kdiskmark-3.2.0-r0.apk2025-06-30 16:46 171K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 05:05 172K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-22 14:38 172K 
[   ]primecount-libs-7.20-r0.apk2025-11-25 19:08 172K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 19:02 172K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 19:02 172K 
[   ]ptpd-2.3.1-r1.apk2024-10-25 19:02 172K 
[   ]winetricks-20250102-r0.apk2025-02-05 22:22 172K 
[   ]thermald-2.15.10-r0.apk2025-10-23 08:12 172K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 18:59 173K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 19:02 173K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 19:02 174K 
[   ]ffmpeg4-libswscale-4.4.5-r4.apk2025-10-19 13:36 174K 
[   ]perl-extutils-makemaker-7.76-r0.apk2025-08-16 14:24 174K 
[   ]click-pyc-0.5.2-r4.apk2025-02-22 14:38 175K 
[   ]gearmand-1.1.22-r0.apk2025-09-06 16:20 175K 
[   ]aravis-libs-0.8.31-r0.apk2024-10-25 18:59 175K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 19:02 175K 
[   ]aufs-util-20161219-r3.apk2024-10-25 18:59 176K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 19:01 176K 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 19:01 176K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 21:43 176K 
[   ]py3-litedram-2024.04-r0.apk2024-10-25 19:02 176K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 19:01 176K 
[   ]convert2json-rsv-jaq-2.4.1-r0.apk2025-12-15 16:18 177K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 19:02 177K 
[   ]libxo-1.7.5-r0.apk2025-01-12 22:45 177K 
[   ]py3-libguestfs-1.56.1-r0.apk2025-07-22 22:16 178K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-10 03:12 178K 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-14 15:03 178K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 19:02 179K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 19:01 179K 
[   ]subliminal-pyc-2.4.0-r0.apk2025-11-24 01:06 179K 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 19:02 179K 
[   ]pympress-1.8.6-r0.apk2025-11-10 05:46 180K 
[   ]onionshare-2.6.3-r1.apk2025-11-14 21:57 180K 
[   ]lomiri-filemanager-app-lang-1.1.4-r0.apk2025-08-19 20:09 180K 
[   ]kodi-game-libretro-fbneo-1.0.0.71-r0.apk2024-10-25 19:01 180KKodi
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 19:02 180K 
[   ]php81-pecl-redis-6.3.0-r0.apk2025-11-07 23:32 180K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 18:59 181K 
[   ]hiawatha-11.6-r1.apk2025-05-27 11:07 181K 
[   ]linkchecker-10.6.0-r0.apk2025-08-10 11:39 181K 
[   ]perl-ffi-platypus-2.10-r1.apk2025-06-30 08:08 181K 
[   ]turntable-0.3.3-r0.apk2025-05-27 13:01 181K 
[   ]php82-pecl-redis-6.3.0-r0.apk2025-11-07 23:32 182K 
[   ]opendht-3.1.11-r0.apk2025-01-29 16:00 182K 
[   ]pympress-pyc-1.8.6-r0.apk2025-11-10 05:46 182K 
[   ]libqd-doc-2.3.24-r0.apk2024-10-25 19:01 182K 
[   ]linuxwave-0.3.0-r0.apk2025-05-26 18:25 183K 
[   ]py3-confluent-kafka-2.9.0-r0.apk2025-05-15 09:51 183K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 19:02 184K 
[   ]sponskrub-3.7.2-r9.apk2025-06-07 09:13 184K 
[   ]cddlib-0.94m-r2.apk2024-10-25 18:59 184K 
[   ]whipper-pyc-0.10.0-r5.apk2024-10-25 19:04 185K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 19:01 185K 
[   ]py3-asyauth-pyc-0.0.23-r0.apk2025-11-03 08:48 186K 
[   ]vera++-1.3.0-r11.apk2025-10-12 14:25 186K 
[   ]guake-pyc-3.10.1-r0.apk2025-11-24 18:07 186K 
[   ]convert2json-ini-json-2.4.1-r0.apk2025-12-15 16:18 186K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 19:01 186K 
[   ]py3-ly-0.9.9-r0.apk2025-07-12 21:56 187K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 19:01 187K 
[   ]dnssec-tools-dev-2.2.3-r13.apk2025-06-30 08:08 188K 
[   ]sedutil-1.15.1-r1.apk2024-10-25 19:03 188K 
[   ]dodo-0_git20250926-r0.apk2025-09-27 21:58 188K 
[   ]telegram-tdlib-dev-1.8.51-r0.apk2025-08-02 10:25 189K 
[   ]petitboot-1.15-r0.apk2025-11-14 23:27 189K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 19:02 189K 
[   ]gearmand-doc-1.1.22-r0.apk2025-09-06 16:20 189K 
[   ]makedumpfile-1.7.8-r0.apk2025-11-07 17:28 189K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 11:06 189K 
[   ]php82-pecl-timezonedb-2025.2-r0.apk2025-10-24 14:48 189K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 19:03 190K 
[   ]py3-xsdata-25.7-r0.apk2025-07-07 20:47 190K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:10 190K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 19:02 190K 
[   ]qt6ct-0.11-r2.apk2025-12-04 22:48 190K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 19:02 190K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 19:02 190K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 04:04 190K 
[   ]66-doc-0.8.2.1-r0.apk2025-06-03 19:11 190K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 19:02 190K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 19:01 190K 
[   ]psftools-1.1.2-r0.apk2024-10-25 19:02 190K 
[   ]endeavour-43.0-r2.apk2024-12-08 21:48 191K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 19:02 191K 
[   ]buildbot-worker-4.3.0-r0.apk2025-08-25 13:20 191K 
[   ]py3-netmiko-4.6.0-r0.apk2025-08-13 15:31 192K 
[   ]pyinfra-3.3.1-r0.apk2025-07-16 00:27 192K 
[   ]zpaq-7.15-r0.apk2025-10-09 07:23 192K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 19:03 193K 
[   ]kontainer-1.0.1-r0.apk2025-06-16 23:00 193K 
[   ]android-file-transfer-4.5-r0.apk2025-06-24 23:02 194K 
[   ]guake-lang-3.10.1-r0.apk2025-11-24 18:07 194K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 19:01 195K 
[   ]py3-owslib-0.35.0-r0.apk2025-11-02 19:15 195K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 19:01 195K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 19:01 195K 
[   ]way-secure-0.2.0-r0.apk2025-04-18 22:14 196K 
[   ]libsimpleble-0.10.3-r0.apk2025-07-11 03:12 196K 
[   ]convert2json-ini-jaq-2.4.1-r0.apk2025-12-15 16:18 197K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 19:01 197K 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 19:01 197K 
[   ]minigalaxy-1.4.0-r0.apk2025-07-11 03:36 197K 
[   ]horizon-0.9.6-r9.apk2024-10-25 19:01 199K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 19:01 199K 
[   ]lomiri-indicator-network-lang-1.1.2-r0.apk2025-09-08 01:00 199K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 19:02 200K 
[   ]convert2json-messagepack-json-2.4.1-r0.apk2025-12-15 16:18 200K 
[   ]convert2json-xml-json-2.4.1-r0.apk2025-12-15 16:18 202K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 08:45 202K 
[   ]remake-doc-1.5-r1.apk2024-10-25 19:03 202K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 18:59 202K 
[   ]mspdebug-0.25-r1.apk2024-10-25 19:02 202K 
[   ]ssh-studio-1.3.1-r0.apk2025-10-12 20:35 202K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 21:48 203K 
[   ]mint-y-theme-xfwm4-2.3.2-r0.apk2025-11-14 21:57 203K 
[   ]convert2json-cbor-json-2.4.1-r0.apk2025-12-15 16:18 204K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 18:59 204K 
[   ]draco-dev-1.5.7-r2.apk2025-02-22 14:38 205K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 19:01 205K 
[   ]lcalc-2.1.0-r0.apk2025-05-03 10:05 205K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 19:02 205K 
[   ]libcob4-3.2-r0.apk2025-07-28 14:02 206K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 19:01 206K 
[   ]py3-rst2pdf-pyc-0.102-r0.apk2025-06-10 18:55 206K 
[   ]zydis-4.1.0-r0.apk2024-10-25 19:04 206K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 19:01 207K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 12:48 207K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 19:02 208K 
[   ]hfst-dev-3.16.2-r0.apk2025-04-03 00:11 209K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-13 21:31 209K 
[   ]perl-sys-virt-11.10.0-r0.apk2025-12-03 16:17 210K 
[   ]mimalloc1-debug-1.9.4-r0.apk2025-11-07 17:28 210K 
[   ]convert2json-messagepack-jaq-2.4.1-r0.apk2025-12-15 16:18 211K 
[   ]resources-lang-1.9.1-r0.apk2025-11-21 09:54 211K 
[   ]lomiri-libusermetrics-1.4.0-r0.apk2025-12-09 11:10 211K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 16:47 211K 
[   ]convert2json-xml-jaq-2.4.1-r0.apk2025-12-15 16:18 212K 
[   ]lomiri-thumbnailer-3.1.0-r0.apk2025-12-09 11:10 214K 
[   ]convert2json-cbor-jaq-2.4.1-r0.apk2025-12-15 16:18 214K 
[   ]lomiri-weather-app-lang-6.0.2-r0.apk2025-03-11 12:02 214K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 19:02 214K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 19:01 215K 
[   ]kodi-peripheral-joystick-20.1.9-r0.apk2024-10-25 19:01 215KKodi
[   ]mingw-w64-libusb-1.0.29-r0.apk2025-09-19 04:51 216K 
[   ]py3-dateparser-1.2.2-r0.apk2025-07-06 19:46 216K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-21 16:21 216K 
[   ]libzvbi-0.2.44-r0.apk2025-03-12 01:07 217K 
[   ]lomiri-settings-components-1.1.3-r0.apk2025-10-25 20:19 218K 
[   ]ruby-facter-4.10.0-r0.apk2025-07-28 02:04 218K 
[   ]perl-astro-satpass-0.134-r0.apk2025-09-18 17:18 218K 
[   ]perl-astro-pal-1.09-r0.apk2025-07-21 08:41 219K 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-10-25 19:03 219K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-03 10:05 219K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 19:01 219K 
[   ]snapper-lang-0.13.0-r0.apk2025-09-16 18:45 219K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 18:59 219K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 07:52 219K 
[   ]gtimelog-0.12_git20251114-r0.apk2025-11-18 20:53 219K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-10 03:12 220K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 19:02 220K 
[   ]php82-pecl-swoole-dev-6.1.5-r0.apk2025-12-21 22:23 220K 
[   ]php81-pecl-swoole-dev-6.1.5-r0.apk2025-12-21 22:46 220K 
[   ]warpinator-2.0.0-r0.apk2025-11-25 19:08 220K 
[   ]php82-pecl-xlswriter-1.5.8-r0.apk2025-10-24 14:48 221K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 19:03 221K 
[   ]convert2json-csv-json-2.4.1-r0.apk2025-12-15 16:18 221K 
[   ]lomiri-docviewer-app-3.1.2-r0.apk2025-08-19 20:09 221K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 19:02 221K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 19:02 221K 
[   ]gmid-2.1.1-r0.apk2024-11-27 19:26 221K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:44 222K 
[   ]qt5ct-1.9-r0.apk2025-11-19 04:37 222K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 19:02 223K 
[   ]gmic-doc-3.6.0-r1.apk2025-10-25 09:58 223K 
[   ]jotdown-0.7.0-r0.apk2025-03-12 01:07 223K 
[   ]apache-mod-auth-openidc-2.4.16.11-r1.apk2025-05-22 07:16 223K 
[   ]iotas-0.12.5-r0.apk2025-11-18 20:53 225K 
[   ]yaru-shell-25.10.1-r0.apk2025-07-13 20:17 227K 
[   ]py3-confluent-kafka-pyc-2.9.0-r0.apk2025-05-15 09:51 227K 
[   ]apostrophe-lang-3.4-r0.apk2025-09-30 09:36 227K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 19:02 227K 
[   ]py3-flask-security-pyc-5.6.2-r0.apk2025-11-10 03:16 227K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 19:02 227K 
[   ]py3-b2sdk-2.10.2-r0.apk2025-12-16 18:41 227K 
[   ]lomiri-libusermetrics-doc-1.4.0-r0.apk2025-12-09 11:10 228K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 19:02 228K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 21:48 229K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 19:02 229K 
[   ]trantor-1.5.18-r0.apk2024-10-25 19:03 229K 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 19:03 230K 
[   ]gl2ps-doc-1.4.2-r0.apk2025-10-12 21:34 230K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 19:02 230K 
[   ]tup-0.7.11-r1.apk2025-06-19 08:45 230K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2024-10-25 19:01 231K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 19:02 231K 
[   ]warpinator-lang-2.0.0-r0.apk2025-11-25 19:08 232K 
[   ]convert2json-csv-jaq-2.4.1-r0.apk2025-12-15 16:18 232K 
[   ]chocolate-doom-doc-3.1.1-r0.apk2025-08-19 01:30 233K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 19:02 234K 
[   ]toybox-0.8.13-r0.apk2025-10-16 10:30 235K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 19:02 236K 
[   ]sigma-0.23.1-r1.apk2024-10-25 19:03 237K 
[   ]py3-kikit-1.7.2-r0.apk2025-05-10 03:12 239K 
[   ]convert2json-bson-json-2.4.1-r0.apk2025-12-15 16:18 240K 
[   ]llvm-next-offload-22.0.0_pre20251108-r0.apk2025-11-09 09:38 240K 
[   ]convert2json-toml-json-2.4.1-r0.apk2025-12-15 16:18 240K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 19:02 240K 
[   ]budgie-screensaver-lang-5.1.0-r0.apk2025-10-16 17:07 240K 
[   ]onionshare-pyc-2.6.3-r1.apk2025-11-14 21:57 240K 
[   ]kodi-game-libretro-atari800-3.1.0.28-r0.apk2024-10-25 19:01 241KKodi
[   ]libretro-blastem-0_git20210810-r0.apk2024-10-25 19:01 241K 
[   ]devil-1.8.0-r0.apk2024-10-25 18:59 241K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 19:01 242K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 19:02 243K 
[   ]py3-okonomiyaki-pyc-2.0.0-r1.apk2025-10-14 19:03 243K 
[   ]py3-ansible-pylibssh-1.2.2-r0.apk2025-07-18 07:51 243K 
[   ]convert2json-plist-json-2.4.1-r0.apk2025-12-15 16:18 244K 
[   ]libopensles-standalone-dbg-0_git20250913-r0.apk2025-09-22 13:31 244K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 19:01 244K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 19:02 245K 
[   ]magpie-dev-0.9.4-r0.apk2025-10-16 17:07 246K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 04:45 246K 
[   ]hatch-pyc-1.16.1-r0.apk2025-11-30 20:01 246K 
[   ]gperftools-doc-2.17-r0.apk2025-08-05 13:00 247K 
[   ]vmlinux.h-6.18-r0.apk2025-12-16 22:34 248K 
[   ]lomiri-ui-extras-0.8.0-r0.apk2025-12-09 11:10 248K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 19:02 248K 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 13:10 248K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 19:02 249K 
[   ]pdal-python-plugins-1.6.5-r0.apk2025-06-21 21:43 249K 
[   ]edit-1.2.1-r0.apk2025-10-16 13:17 249K 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 19:01 249K 
[   ]solanum-6.0.0-r0.apk2025-04-10 13:52 249K 
[   ]convert2json-bson-jaq-2.4.1-r0.apk2025-12-15 16:18 250K 
[   ]vimv-3.1.0-r0.apk2025-10-12 21:21 250K 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 19:01 250K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 19:02 251K 
[   ]convert2json-toml-jaq-2.4.1-r0.apk2025-12-15 16:18 251K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 18:59 251K 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 19:01 251K 
[   ]timew-1.4.3-r1.apk2024-10-25 19:03 252K 
[   ]perl-badger-0.16-r1.apk2025-11-11 23:13 253K 
[   ]speedtest-5.2.5-r1.apk2024-10-25 19:03 253K 
[   ]linkchecker-pyc-10.6.0-r0.apk2025-08-10 11:39 254K 
[   ]convert2json-plist-jaq-2.4.1-r0.apk2025-12-15 16:18 254K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 19:01 254K 
[   ]hiprompt-gtk-0.9-r0.apk2025-08-25 17:31 254K 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 18:59 255K 
[   ]swayhide-0.2.1-r2.apk2024-10-25 19:03 255K 
[   ]pam-pkcs11-0.6.13-r1.apk2025-11-17 13:11 256K 
[   ]libqd-static-2.3.24-r0.apk2024-10-25 19:01 257K 
[   ]ginger-2.4.0-r7.apk2024-10-25 19:01 257K 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-19 16:47 257K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 19:02 258K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 21:55 258K 
[   ]irccd-4.0.3-r0.apk2024-10-25 19:01 259K 
[   ]mediascanner2-0.118-r4.apk2025-11-17 13:11 259K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 19:02 260K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 19:02 260K 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 18:59 261K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 19:03 262K 
[   ]perl-module-generic-doc-1.1.3-r0.apk2025-10-24 17:54 262K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 19:02 263K 
[   ]perl-badger-doc-0.16-r1.apk2025-11-11 23:13 263K 
[   ]ovn-doc-25.09.2-r0.apk2025-12-14 22:31 264K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 08:45 264K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 19:01 264K 
[   ]convert2json-yaml-json-2.4.1-r0.apk2025-12-15 16:18 265K 
[   ]cpu-x-lang-5.2.0-r1.apk2025-05-06 10:05 266K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 21:23 268K 
[   ]advancescan-1.18-r1.apk2024-10-25 18:59 268K 
[   ]gst-audio-thumbnailer-1.0_alpha1-r2.apk2025-12-20 19:24 268K 
[   ]corosync-3.1.9-r0.apk2025-05-29 04:04 268K 
[   ]lomiri-lang-0.5.0-r4.apk2025-12-18 14:24 269K 
[   ]sfwbar-1.0_beta161-r0.apk2025-07-31 13:29 269K 
[   ]xtensor-0.27.0-r0.apk2025-08-25 06:40 270K 
[   ]nwg-panel-pyc-0.10.13-r0.apk2025-11-28 13:29 270K 
[   ]tmate-2.4.0-r4.apk2024-10-25 19:03 270K 
[   ]nwipe-0.39-r0.apk2025-12-05 02:57 270K 
[   ]uasm-2.56.2-r0.apk2024-10-25 19:03 271K 
[   ]opmsg-1.84-r1.apk2024-10-25 19:02 272K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 19:01 272K 
[   ]biboumi-9.0-r9.apk2025-10-06 11:28 274K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 19:02 275K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 19:02 275K 
[   ]guestfs-tools-1.56.1-r0.apk2025-07-22 22:16 275K 
[   ]lomiri-content-hub-2.2.1-r0.apk2025-12-09 11:10 276K 
[   ]lynis-3.1.4-r0.apk2025-07-29 08:08 276K 
[   ]convert2json-yaml-jaq-2.4.1-r0.apk2025-12-15 16:18 276K 
[   ]gaupol-1.12-r2.apk2024-10-25 19:01 276K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 19:01 277K 
[   ]masky-0.2.0-r2.apk2025-05-29 12:00 277K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 19:01 277K 
[   ]yosys-dev-0.57-r0.apk2025-10-24 21:50 277K 
[   ]py3-kikit-pyc-1.7.2-r0.apk2025-05-10 03:12 277K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 17:03 278K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 19:02 279K 
[   ]ffmpeg4-libavutil-4.4.5-r4.apk2025-10-19 13:36 279K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-12 01:07 280K 
[   ]ffmpeg4-dev-4.4.5-r4.apk2025-10-19 13:36 281K 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 18:59 281K 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 19:01 281K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 19:03 282K 
[   ]gst-video-thumbnailer-1.0_alpha1-r2.apk2025-12-20 19:24 282K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 16:06 284K 
[   ]komikku-lang-1.85.0-r0.apk2025-08-22 08:26 284K 
[   ]snapraid-13.0-r0.apk2025-11-01 00:21 284K 
[   ]nomadnet-pyc-0.8.0-r0.apk2025-09-23 22:32 285K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 06:36 285K 
[   ]py3-imageio-2.37.0-r0.apk2025-07-11 22:13 285K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 19:02 286K 
[   ]perl-imager-doc-1.028-r1.apk2025-06-30 08:08 286K 
[   ]libre-4.1.0-r0.apk2025-10-03 07:59 287K 
[   ]py3-minikerberos-pyc-0.4.9-r0.apk2025-11-03 08:48 287K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 19:02 287K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 19:01 288K 
[   ]nwg-panel-0.10.13-r0.apk2025-11-28 13:29 288K 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 18:59 289K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 18:59 289K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r1.apk2025-05-22 07:16 290K 
[   ]bees-0.10-r2.apk2024-10-25 18:59 290K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 05:11 291K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 19:02 292K 
[   ]hardinfo2-lang-2.2.13-r0.apk2025-09-28 12:01 292K 
[   ]hex-0.6.0-r0.apk2024-10-25 19:01 293K 
[   ]66-0.8.2.1-r0.apk2025-06-03 19:11 293K 
[   ]ngs-0.2.14-r0.apk2024-10-25 19:02 293K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 19:02 293K 
[   ]mkosi-26-r0.apk2025-12-17 18:30 295K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 19:02 296K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 19:02 296K 
[   ]py3-flask-security-5.6.2-r0.apk2025-11-10 03:16 297K 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 19:02 298K 
[   ]kodi-pvr-hts-21.2.6-r0.apk2025-03-02 16:15 298KKodi
[   ]lomiri-weather-app-6.0.2-r0.apk2025-03-11 12:02 299K 
[   ]pypy3-tkinter-7.3.19-r0.apk2025-11-01 15:12 299K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 19:01 300K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:54 301K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 20:24 302K 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 15:13 302K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 19:03 302K 
[   ]libspatialindex-2.1.0-r1.apk2025-11-10 05:46 302K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 19:04 303K 
[   ]csmith-2.3.0-r2.apk2024-10-25 18:59 304K 
[   ]apache2-mod-perl-doc-2.0.13-r2.apk2025-06-30 08:08 304K 
[   ]guake-3.10.1-r0.apk2025-11-24 18:07 304K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:35 305K 
[   ]fileshelter-6.2.0-r4.apk2025-09-27 22:22 305K 
[   ]welle-cli-2.7-r0.apk2025-04-07 19:40 305K 
[   ]botan2-doc-2.19.5-r0.apk2025-05-28 21:36 306K 
[   ]budgie-session-lang-0.9.1-r0.apk2025-10-16 17:07 307K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-22 14:38 310K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 19:02 311K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 19:04 311K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-10 03:12 311K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 19:02 311K 
[   ]py3-iso639-lang-2.6.3-r0.apk2025-12-04 22:48 311K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 08:45 311K 
[   ]biometryd-0.3.3-r0.apk2025-12-09 11:10 312K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-28 21:36 312K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:32 312K 
[   ]helvum-0.5.1-r0.apk2024-10-25 19:01 313K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 19:02 313K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 20:24 314K 
[   ]lldb-next-pyc-22.0.0_pre20251108-r0.apk2025-11-09 09:38 314K 
[   ]openwsman-libs-2.8.1-r1.apk2025-06-30 08:08 315K 
[   ]virtualgl-doc-3.1.4-r0.apk2025-10-09 07:23 315K 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 12:00 315K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 19:01 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 19:01 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 19:01 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 19:01 316K 
[   ]flint-dev-3.4.0-r0.apk2025-11-26 08:24 316K 
[   ]gnucobol-lang-3.2-r0.apk2025-07-28 14:02 316K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 19:02 316K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-02 03:03 317K 
[   ]ibus-typing-booster-lang-2.29.0-r0.apk2025-12-16 15:10 317K 
[   ]dnssec-tools-doc-2.2.3-r13.apk2025-06-30 08:08 317K 
[   ]py3-pymupdf-1.26.4-r2.apk2025-12-19 20:33 318K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 19:01 318K 
[   ]py3-asysocks-pyc-0.2.18-r0.apk2025-11-03 08:48 320K 
[   ]litehtml-0.9-r2.apk2025-02-22 14:39 320K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 19:02 321K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 19:02 322K 
[   ]bash-pinyin-completion-rs-1.0.3-r0.apk2025-12-10 00:01 322K 
[   ]rizin-dev-0.8.1-r0.apk2025-06-30 16:49 323K 
[   ]libtins-4.5-r2.apk2025-10-15 08:21 323K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-01 06:46 323K 
[   ]perl-module-generic-1.1.3-r0.apk2025-10-24 17:54 324K 
[   ]habitctl-0.1.0-r2.apk2024-10-25 19:01 324K 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 18:59 324K 
[   ]lomiri-app-launch-0.1.12-r5.apk2025-12-18 14:24 325K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 20:24 326K 
[   ]libguestfs-1.56.1-r0.apk2025-07-22 22:16 326K 
[   ]eclib-20250627-r2.apk2025-11-26 08:24 326K 
[   ]lomiri-filemanager-app-1.1.4-r0.apk2025-08-19 20:09 326K 
[   ]linux-gpib-doc-4.3.7-r0.apk2025-12-06 23:09 326K 
[   ]kodi-game-libretro-mame2000-0.37.0.32-r0.apk2024-10-25 19:01 326KKodi
[   ]py3-msldap-pyc-0.5.15-r2.apk2025-10-17 16:26 330K 
[   ]libblastrampoline-5.2.0-r0.apk2024-10-25 19:01 330K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 19:01 331K 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 19:02 331K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 03:59 332K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-12 04:50 332K 
[   ]klong-20221212-r0.apk2025-06-07 15:16 333K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 19:02 334K 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:49 334K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 19:01 335K 
[   ]py3-dateparser-pyc-1.2.2-r0.apk2025-07-06 19:46 335K 
[   ]nfcd-1.2.3-r1.apk2025-11-15 11:08 335K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-12 04:50 336K 
[   ]perl-snmp-info-3.974000-r0.apk2025-09-12 04:49 337K 
[   ]xdg-desktop-portal-hyprland-1.3.11-r0.apk2025-12-14 11:02 337K 
[   ]php81-pear-8.1.34-r0.apk2025-12-17 02:27 338K 
[   ]php82-pear-8.2.30-r1.apk2025-12-17 20:10 338K 
[   ]timoni-doc-0.23.0-r10.apk2025-12-04 22:48 338K 
[   ]diskus-0.8.0-r0.apk2025-05-18 22:20 338K 
[   ]atari800-5.2.0-r0.apk2024-10-25 18:59 339K 
[   ]libvalkey-dev-0.2.1-r0.apk2025-11-17 11:56 339K 
[   ]lxqt-wayland-session-0.3.0-r0.apk2025-11-21 03:24 339K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 19:03 340K 
[   ]electron-dev-39.2.7-r0.apk2025-12-18 19:03 341K 
[   ]perl-html-object-0.6.0-r0.apk2025-10-16 16:25 343K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 19:02 343K 
[   ]morph-browser-lang-1.99.1-r0.apk2025-12-09 11:10 343K 
[   ]gnome-user-share-48.2-r0.apk2025-11-23 10:48 344K 
[   ]py3-rns-1.0.0-r0.apk2025-09-23 22:32 344K 
[   ]fuzzylite-libs-6.0-r2.apk2025-01-31 21:53 345K 
[   ]planner-0.14.92-r1.apk2024-12-08 21:48 345K 
[   ]lomiri-history-service-0.6-r20.apk2025-12-19 11:40 345K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 19:00 345K 
[   ]dum-0.1.20-r1.apk2025-04-03 00:11 347K 
[   ]kodi-inputstream-ffmpegdirect-21.3.7-r0.apk2025-03-02 16:15 347KKodi
[   ]toml2json-1.3.2-r0.apk2025-09-01 13:13 348K 
[   ]iotas-pyc-0.12.5-r0.apk2025-11-18 20:53 348K 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 19:02 349K 
[   ]xml2rfc-3.28.1-r3.apk2025-12-18 11:03 352K 
[   ]py3-ly-pyc-0.9.9-r0.apk2025-07-12 21:56 352K 
[   ]liquid-dsp-1.5.0-r0.apk2024-10-25 19:01 353K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 23:55 353K 
[   ]vile-common-9.8z_p1-r2.apk2025-10-06 11:28 354K 
[   ]bartib-1.0.1-r1.apk2024-10-25 18:59 354K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:27 355K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 19:36 356K 
[   ]vile-doc-9.8z_p1-r2.apk2025-10-06 11:28 357K 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-13 21:31 357K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 19:02 358K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 19:01 358K 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 19:02 358K 
[   ]py3-hfst-3.16.2-r0.apk2025-04-03 00:11 358K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 15:13 358K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 21:23 359K 
[   ]singular-dev-4.4.1_p2-r1.apk2025-11-26 08:24 359K 
[   ]mediastreamer2-5.3.112-r1.apk2025-10-19 13:36 359K 
[   ]bkt-0.8.0-r0.apk2024-10-25 18:59 360K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 19:02 360K 
[   ]pyinfra-pyc-3.3.1-r0.apk2025-07-16 00:27 361K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 19:01 362K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 19:03 363K 
[   ]gnome-latex-3.48.0-r0.apk2025-04-07 22:01 364K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 19:03 364K 
[   ]jackdaw-pyc-0.3.1-r2.apk2025-05-29 12:00 364K 
[   ]gloox-1.0.28-r0.apk2024-10-25 19:01 364K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 08:45 364K 
[   ]bazaar-0.5.8-r0.apk2025-11-02 09:54 364K 
[   ]epic6-0_git20250821-r0.apk2025-09-06 16:20 365K 
[   ]libntl-doc-11.6.0-r0.apk2025-11-08 07:11 366K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 19:02 366K 
[   ]wcm-0.9.0-r0.apk2025-02-27 20:17 366K 
[   ]wlroots0.17-0.17.4-r3.apk2025-08-13 08:04 366K 
[   ]keydb-cli-6.3.4-r0.apk2024-10-25 19:01 367K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 18:59 368K 
[   ]php82-opcache-8.2.30-r1.apk2025-12-17 20:10 369K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 19:02 370K 
[   ]llvm-next-libc++-22.0.0_pre20251108-r0.apk2025-11-09 09:38 371K 
[   ]keydb-benchmark-6.3.4-r0.apk2024-10-25 19:01 372K 
[   ]py3-netmiko-pyc-4.6.0-r0.apk2025-08-13 15:31 372K 
[   ]video-trimmer-25.03-r0.apk2025-06-30 13:45 372K 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 18:59 374K 
[   ]php81-opcache-8.1.34-r0.apk2025-12-17 02:27 374K 
[   ]py3-igraph-pyc-1.0.0-r0.apk2025-10-28 01:54 374K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 06:07 375K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 19:01 376K 
[   ]musikcube-plugin-server-3.0.5-r0.apk2025-09-27 21:15 377K 
[   ]php81-fileinfo-8.1.34-r0.apk2025-12-17 02:27 377K 
[   ]php82-fileinfo-8.2.30-r1.apk2025-12-17 20:10 378K 
[   ]wlroots0.18-0.18.3-r0.apk2025-11-28 23:54 378K 
[   ]barman-3.16.2-r0.apk2025-11-20 22:18 378K 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 18:59 379K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 23:55 379K 
[   ]llvm-next-offload-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 379K 
[   ]xfsdump-3.2.0-r0.apk2025-08-30 20:48 380K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:22 380K 
[   ]lomiri-calculator-app-4.1.0-r0.apk2025-08-19 20:09 381K 
[   ]grip-4.2.4-r0.apk2024-10-25 19:01 381K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 19:02 382K 
[   ]openvpn3-3.8.5-r1.apk2025-02-22 14:39 382K 
[   ]3proxy-0.9.5-r1.apk2025-11-17 13:11 383K 
[   ]libandroidfw-0_git20251004-r0.apk2025-10-06 21:54 383K 
[   ]exabgp-4.2.24-r1.apk2025-09-06 16:20 385K 
[   ]desed-1.2.1-r1.apk2024-10-25 18:59 386K 
[   ]pcl-dev-1.14.1-r0.apk2025-02-22 14:39 387K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 19:01 387K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 19:01 387K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 19:02 388K 
[   ]perl-snmp-info-doc-3.974000-r0.apk2025-09-12 04:49 390K 
[   ]perl-devel-nytprof-6.14-r1.apk2025-06-30 08:08 390K 
[   ]py3-litex-boards-2024.04-r0.apk2024-10-25 19:02 390K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 19:01 391K 
[   ]py3-xsdata-pyc-25.7-r0.apk2025-07-07 20:47 393K 
[   ]syd-tui-0.2.2-r0.apk2025-12-07 23:40 393K 
[   ]piper-phonemize-dev-2023.11.14.4-r9.apk2025-07-12 16:07 394K 
[   ]ruby-mail-2.9.0-r0.apk2025-10-24 15:26 394K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 19:00 395K 
[   ]lwan-dbg-0.1-r2.apk2024-10-25 19:01 396K 
[   ]knxd-0.14.61-r1.apk2024-12-14 21:23 397K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 19:02 400K 
[   ]kodi-vfs-rar-20.1.0-r1.apk2024-10-25 19:01 401KKodi
[   ]welle-io-2.7-r0.apk2025-04-07 19:40 401K 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 19:02 402K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 19:03 404K 
[   ]gede-2.22.1-r0.apk2025-11-02 20:48 404K 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 00:17 404K 
[   ]pandora_box-0.17.0-r0.apk2025-12-20 19:19 406K 
[   ]appcenter-8.0.0-r0.apk2024-11-12 21:55 406K 
[   ]mergerfs-2.41.1-r0.apk2025-12-13 16:07 407K 
[   ]xml2rfc-pyc-3.28.1-r3.apk2025-12-18 11:03 408K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 19:02 408K 
[   ]py3-igraph-1.0.0-r0.apk2025-10-28 01:54 409K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-13 21:31 410K 
[   ]terminalpp-0.8.4-r0.apk2024-10-25 19:03 411K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 19:01 413K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 19:01 413K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 19:01 413K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 17:03 414K 
[   ]mm-common-1.0.7-r0.apk2025-06-27 16:39 414K 
[   ]gdcm-3.2.2-r3.apk2025-12-02 06:13 414K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 19:01 419K 
[   ]botan2-2.19.5-r0.apk2025-05-28 21:36 420K 
[   ]qtpass-1.4.0-r0.apk2024-10-25 19:02 420K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:27 421K 
[   ]buildbot-www-4.3.0-r0.apk2025-08-25 13:20 422K 
[   ]esptool-4.8.1-r0.apk2024-10-25 19:00 424K 
[   ]visidata-3.3-r0.apk2025-09-15 13:56 425K 
[   ]py3-owslib-pyc-0.35.0-r0.apk2025-11-02 19:15 425K 
[   ]buildbot-wsgi-dashboards-4.3.0-r0.apk2025-08-25 13:20 426K 
[   ]py3-b2sdk-pyc-2.10.2-r0.apk2025-12-16 18:41 429K 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 19:01 430K 
[   ]cemu-lang-2.6-r0.apk2025-09-25 05:44 432K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 19:01 432K 
[   ]zycore-doc-1.5.0-r1.apk2025-07-11 04:50 433K 
[   ]projectm-3.1.12-r2.apk2024-10-25 19:02 433K 
[   ]birdtray-1.11.4-r0.apk2025-12-12 17:03 435K 
[   ]qsynth-1.0.3-r0.apk2025-11-25 11:01 435K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 19:01 436K 
[   ]neko-2.3.0-r0.apk2024-11-21 02:45 436K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 19:02 437K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-03 10:05 437K 
[   ]pwvucontrol-0.5.0-r0.apk2025-10-20 21:45 438K 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 19:03 438K 
[   ]mkosi-pyc-26-r0.apk2025-12-17 18:30 438K 
[   ]mnemosyne-lang-2.10.1-r1.apk2024-10-25 19:02 439K 
[   ]tomcat9-examples-9.0.112-r0.apk2025-12-01 20:49 439K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 19:01 439K 
[   ]batmon-0.0.1-r0.apk2024-10-25 18:59 439K 
[   ]geotagging-0.7.4-r0.apk2024-11-12 11:18 440K 
[   ]diskonaut-0.11.0-r3.apk2024-10-25 18:59 440K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 19:03 440K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 19:04 440K 
[   ]py3-fastavro-1.12.1-r0.apk2025-10-14 01:58 441K 
[   ]startup-2.0.3-r5.apk2024-10-25 19:03 442K 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 19:03 442K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 18:59 442K 
[   ]komikku-1.85.0-r0.apk2025-08-22 08:26 444K 
[   ]libguestfs-static-1.56.1-r0.apk2025-07-22 22:16 444K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 10:45 446K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 19:02 446K 
[   ]pypy-tkinter-7.3.19-r0.apk2025-11-01 15:12 446K 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-13 12:27 447K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-13 12:27 449K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-14 00:10 449K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-13 12:27 450K 
[   ]gdcm-dev-3.2.2-r3.apk2025-12-02 06:13 450K 
[   ]schismtracker-20251014-r0.apk2025-10-24 23:51 450K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-13 12:27 451K 
[   ]hyprlock-0.9.2-r0.apk2025-12-14 11:02 452K 
[   ]svgbob-0.7.6-r0.apk2025-02-02 00:32 452K 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 19:01 453K 
[   ]mint-x-theme-gtk2-2.3.2-r0.apk2025-11-14 21:57 453K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 19:01 453K 
[   ]cln-1.3.7-r1.apk2025-05-25 07:49 453K 
[   ]miracle-wm-0.8.2-r1.apk2025-12-18 14:24 455K 
[   ]splitter-0.4.1-r1.apk2025-07-15 04:09 456K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-10 03:12 456K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 19:01 458K 
[   ]netdiscover-0.21-r0.apk2025-08-15 12:46 459K 
[   ]llvm-next-gtest-22.0.0_pre20251108-r0.apk2025-11-09 09:38 460K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 11:22 462K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-22 14:38 464K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 19:02 465K 
[   ]paged-markdown-3-pdf-0.1.3-r0.apk2025-05-28 14:24 465K 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 13:49 465K 
[   ]qtile-0.33.0-r0.apk2025-09-17 07:56 469K 
[   ]wayprompt-0.1.2-r2.apk2025-05-24 02:16 469K 
[   ]libre-dev-4.1.0-r0.apk2025-10-03 07:59 471K 
[   ]motion-lang-4.7.1-r0.apk2025-09-27 21:15 471K 
[   ]timeshift-25.12.1-r0.apk2025-12-12 19:32 471K 
[   ]heh-0.6.2-r0.apk2025-12-12 15:04 473K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 19:01 473K 
[   ]perl-html-object-doc-0.6.0-r0.apk2025-10-16 16:25 474K 
[   ]vcdimager-2.0.1-r5.apk2025-01-22 10:33 475K 
[   ]qflipper-1.3.3-r1.apk2024-10-25 19:02 476K 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-22 14:38 476K 
[   ]snapweb-0.9.2-r0.apk2025-10-06 21:15 476K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 04:04 478K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-13 12:27 478K 
[   ]pcl-1.14.1-r0.apk2025-02-22 14:39 481K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-13 12:27 482K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 19:02 482K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-13 12:27 483K 
[   ]php82-pdlib-1.1.0-r1.apk2024-10-25 19:02 483K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-13 12:27 484K 
[   ]9base-troff-6-r2.apk2024-10-25 18:59 485K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-13 12:27 485K 
[   ]featherpad-lang-1.6.2-r0.apk2025-11-28 19:39 485K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-13 12:27 487K 
[   ]mapnik-dev-4.1.4-r0.apk2025-12-04 22:48 487K 
[   ]uucp-1.07-r6.apk2024-10-25 19:03 487K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-13 12:27 490K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-13 12:27 492K 
[   ]meep-dev-1.31.0-r1.apk2025-08-19 13:05 492K 
[   ]llvm-next-runtime-22.0.0_pre20251108-r0.apk2025-11-09 09:38 493K 
[   ]scooper-1.3-r1.apk2024-10-25 19:03 496K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 21:48 497K 
[   ]py3-telegram-bot-22.5-r0.apk2025-11-20 10:14 497K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 19:02 498K 
[   ]libretro-yabause-0_git20210411-r0.apk2024-10-25 19:01 498K 
[   ]freshrss-lang-1.27.1-r0.apk2025-11-03 08:30 499K 
[   ]gr-satellites-5.5.0-r6.apk2025-10-12 12:09 499K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 19:02 500K 
[   ]py3-pymupdf-pyc-1.26.4-r2.apk2025-12-19 20:33 500K 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 19:02 502K 
[   ]tuckr-0.12.0-r0.apk2025-11-30 10:11 503K 
[   ]mint-x-theme-gtk4-2.3.2-r0.apk2025-11-14 21:57 503K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 19:02 503K 
[   ]py3-imageio-pyc-2.37.0-r0.apk2025-07-11 22:13 504K 
[   ]qtmir-0.7.2_git20250407-r5.apk2025-12-18 14:24 504K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-13 12:27 504K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 14:14 505K 
[   ]log4cxx-1.1.0-r3.apk2025-02-22 14:39 505K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 14:33 507K 
[   ]supermin-5.2.2-r2.apk2024-10-25 19:03 507K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-19 14:15 508K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-13 12:27 509K 
[   ]cdist-7.0.0-r6.apk2024-10-25 18:59 511K 
[   ]litehtml-static-0.9-r2.apk2025-02-22 14:39 511K 
[   ]i3bar-river-1.1.0-r1.apk2025-10-29 22:51 511K 
[   ]mimalloc1-dev-1.9.4-r0.apk2025-11-07 17:28 512K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 19:03 515K 
[   ]plots-0.7.0-r1.apk2024-11-06 09:41 516K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 19:01 516K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-13 12:27 517K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-13 12:27 518K 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 19:02 518K 
[   ]oppa-1.1.0-r0.apk2025-10-12 13:21 519K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-13 12:27 520K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-13 12:27 520K 
[   ]opentelemetry-cpp-dev-1.24.0-r0.apk2025-11-22 13:32 521K 
[   ]faust-static-2.79.3-r0.apk2025-06-07 17:01 521K 
[   ]amber-0.4.0_alpha-r0.apk2025-09-18 02:17 522K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-13 12:27 524K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-13 12:27 525K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-13 12:27 525K 
[   ]perl-imager-1.028-r1.apk2025-06-30 08:08 526K 
[   ]liquid-dsp-dev-1.5.0-r0.apk2024-10-25 19:01 526K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 14:33 526K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-13 12:27 526K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-13 12:27 527K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-13 12:27 527K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-13 12:27 528K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 19:01 528K 
[   ]kimchi-3.0.0-r8.apk2025-02-22 14:38 529K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-13 12:27 529K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-13 12:27 530K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-13 12:27 530K 
[   ]gnome-latex-lang-3.48.0-r0.apk2025-04-07 22:01 530K 
[   ]gradia-1.9.0-r0.apk2025-09-06 16:20 531K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-13 12:27 531K 
[   ]xmoto-lang-0.6.3-r0.apk2025-10-03 17:02 532K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-13 12:27 533K 
[   ]aspell-es-1.11-r0.apk2024-10-25 18:59 533K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-13 12:27 533K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-13 12:27 534K 
[   ]morph-browser-1.99.1-r0.apk2025-12-09 11:10 535K 
[   ]bore-0.5.2-r0.apk2024-12-15 19:29 535K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-13 12:27 535K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-13 12:27 535K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-13 12:27 536K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-13 12:27 537K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-13 12:27 537K 
[   ]wl-screenrec-0.1.7-r1.apk2025-08-27 16:40 538K 
[   ]font-terminus-ttf-4.49.3-r0.apk2025-07-11 19:54 538K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-13 12:27 538K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 19:02 538K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-13 12:27 538K 
[   ]py3-meshtastic-2.7.2-r0.apk2025-09-16 14:05 540K 
[   ]mapserver-dev-8.4.1-r1.apk2025-12-04 22:48 540K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-13 12:27 540K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-25 19:01 540K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-13 12:27 540K 
[   ]marxan-4.0.7-r1.apk2024-10-25 19:02 541K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-13 12:27 541K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-13 12:27 542K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 20:17 544K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-13 12:27 544K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-13 12:27 545K 
[   ]py3-nikola-pyc-8.3.3-r0.apk2025-10-11 10:49 545K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-13 12:27 546K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 16:03 546K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-13 12:27 547K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-13 12:27 549K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 19:00 549K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-13 12:27 550K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 19:02 550K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-18 22:20 550K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-13 12:27 551K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 19:02 552K 
[   ]crow-translate-lang-4.0.2-r0.apk2025-09-22 01:17 554K 
[   ]kew-3.6.4-r0.apk2025-10-21 05:33 555K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 19:00 556K 
[   ]py3-yosys-0.57-r0.apk2025-10-24 21:50 557K 
[   ]cpdf-doc-2.8.1-r0.apk2025-05-10 03:12 558K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 19:01 560K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 19:02 560K 
[   ]turn-rs-3.4.0-r1.apk2025-06-12 13:59 568K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 19:01 568K 
[   ]libguestfs-doc-1.56.1-r0.apk2025-07-22 22:16 569K 
[   ]php81-mbstring-8.1.34-r0.apk2025-12-17 02:27 570K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:35 571K 
[   ]pypy3-dev-7.3.19-r0.apk2025-11-01 15:12 573K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-29 16:00 573K 
[   ]java-asmtools-8.0.09-r0.apk2024-10-25 19:01 574K 
[   ]perl-alien-libgumbo-0.05-r1.apk2025-06-30 08:08 576K 
[   ]orage-4.20.1-r0.apk2025-04-08 11:51 580K 
[   ]squeak-vm-4.10.2.2614-r2.apk2025-10-09 12:30 581K 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 18:59 583K 
[   ]rygel-lang-45.0-r1.apk2025-11-18 18:30 584K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 19:01 584K 
[   ]py3-aiosmb-0.4.14-r0.apk2025-11-03 08:48 585K 
[   ]budgie-desktop-lang-10.9.2-r0.apk2025-10-16 17:07 586K 
[   ]py3-apsw-pyc-3.51.1.0-r0.apk2025-11-30 18:50 586K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 19:03 586K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 19:01 587K 
[   ]lomiri-download-manager-0.3.0-r0.apk2025-12-09 11:10 588K 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 19:01 589K 
[   ]xonsh-0.19.9-r0.apk2025-10-31 15:15 589K 
[   ]zmx-0.1.1-r0.apk2025-12-17 18:15 590K 
[   ]svt-vp9-libs-0.3.1-r0.apk2025-12-20 22:51 590K 
[   ]llvm-next-test-utils-22.0.0_pre20251108-r0.apk2025-11-09 09:38 591K 
[   ]petitboot-dbg-1.15-r0.apk2025-11-14 23:27 593K 
[   ]gtranslator-lang-49.0-r0.apk2025-09-15 07:46 593K 
[   ]barman-pyc-3.16.2-r0.apk2025-11-20 22:18 595K 
[   ]mint-y-theme-gtk2-2.3.2-r0.apk2025-11-14 21:57 595K 
[   ]uranium-5.2.2-r3.apk2024-10-25 19:03 596K 
[   ]ttyper-1.6.0-r0.apk2025-02-05 21:45 596K 
[   ]gufw-24.04-r3.apk2024-11-19 21:49 596K 
[   ]mint-x-theme-gtk3-2.3.2-r0.apk2025-11-14 21:57 597K 
[   ]lomiri-indicator-network-1.1.2-r0.apk2025-09-08 01:00 600K 
[   ]opentelemetry-cpp-1.24.0-r0.apk2025-11-22 13:32 602K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 19:02 606K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 19:02 606K 
[   ]mnemosyne-2.10.1-r1.apk2024-10-25 19:02 607K 
[   ]llvm-next-openmp-22.0.0_pre20251108-r0.apk2025-11-09 09:38 609K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 19:02 610K 
[   ]paperde-0.3.0-r2.apk2025-08-21 07:47 610K 
[   ]py3-textual-5.3.0-r0.apk2025-10-27 08:46 612K 
[   ]nsh-0.4.2-r1.apk2024-10-25 19:02 613K 
[   ]java-gdcm-3.2.2-r3.apk2025-12-02 06:13 618K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 19:01 626K 
[   ]mnemosyne-pyc-2.10.1-r1.apk2024-10-25 19:02 628K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 18:59 628K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 12:51 628K 
[   ]php82-mbstring-8.2.30-r1.apk2025-12-17 20:10 628K 
[   ]eva-0.3.1-r2.apk2024-10-25 19:00 632K 
[   ]gtypist-2.10.1-r0.apk2025-10-12 20:59 635K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 08:45 636K 
[   ]cargo-vendor-filterer-0.5.18-r0.apk2025-07-24 17:29 637K 
[   ]gtranslator-doc-49.0-r0.apk2025-09-15 07:46 638K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 19:01 639K 
[   ]meep-1.31.0-r1.apk2025-08-19 13:05 642K 
[   ]pure-data-libs-0.55.2-r0.apk2025-06-29 17:18 642K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 19:02 644K 
[   ]felix-2.16.1-r0.apk2025-05-16 08:59 648K 
[   ]oils-for-unix-0.35.0-r0.apk2025-09-28 22:29 648K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 19:02 651K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 19:01 652K 
[   ]apache2-mod-perl-2.0.13-r2.apk2025-06-30 08:08 657K 
[   ]lumins-0.4.0-r2.apk2024-10-25 19:01 659K 
[   ]codec2-1.2.0-r1.apk2025-11-22 18:51 666K 
[   ]yaml-language-server-1.19.2-r0.apk2025-10-09 07:23 666K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-22 14:39 667K 
[   ]py3-gdcm-3.2.2-r3.apk2025-12-02 06:13 668K 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 19:02 670K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 19:02 670K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 19:02 673K 
[   ]ecasound-2.9.3-r4.apk2025-02-24 21:28 674K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 19:01 675K 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 19:02 675K 
[   ]py3-trimesh-4.10.0-r0.apk2025-11-25 05:17 676K 
[   ]font-monocraft-4.0-r0.apk2024-10-25 19:01 677K 
[   ]ocaml5-llvm-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 677K 
[   ]pitivi-lang-2023.03-r2.apk2024-12-23 03:30 678K 
[   ]perl-gtk2-doc-1.24993-r7.apk2025-06-30 08:08 679K 
[   ]gperftools-dev-2.17-r0.apk2025-08-05 13:00 682K 
[   ]criu-3.19-r3.apk2025-06-12 13:59 684K 
[   ]cbqn-0.10.0-r0.apk2025-11-30 12:22 686K 
[   ]kondo-0.8-r0.apk2024-10-25 19:01 692K 
[   ]llvm-next-libc++-static-22.0.0_pre20251108-r0.apk2025-11-09 09:38 693K 
[   ]udpt-3.1.2-r0.apk2024-10-25 19:03 695K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 08:45 697K 
[   ]rpi-imager-1.9.0-r1.apk2025-07-24 08:08 699K 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-23 03:30 700K 
[   ]py3-rns-pyc-1.0.0-r0.apk2025-09-23 22:32 705K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 19:01 706K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 19:02 713K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 19:02 713K 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 19:01 714K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 21:48 715K 
[   ]sshs-4.7.2-r0.apk2025-04-23 22:26 719K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 12:00 721K 
[   ]tsung-1.8.0-r3.apk2025-06-13 05:39 722K 
[   ]castor-0.9.0-r2.apk2024-10-25 18:59 723K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 19:02 729K 
[   ]mdbook-alerts-0.8.0-r0.apk2025-09-26 12:58 730K 
[   ]featherpad-1.6.2-r0.apk2025-11-28 19:39 730K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 19:01 731K 
[   ]svt-hevc-libs-1.5.1-r2.apk2024-10-25 19:03 733K 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 19:02 735K 
[   ]buildbot-4.3.0-r0.apk2025-08-25 13:20 736K 
[   ]httrack-3.49.2-r5.apk2024-10-25 19:01 742K 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 19:02 744K 
[   ]py3-beartype-pyc-0.22.9-r0.apk2025-12-15 18:33 744K 
[   ]responder-3.1.7.0-r0.apk2025-10-14 14:54 747K 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 19:02 748K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 19:02 748K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 19:04 749K 
[   ]dnssec-tools-2.2.3-r13.apk2025-06-30 08:08 752K 
[   ]transito-doc-0.10.0-r0.apk2025-12-21 22:45 756K 
[   ]yaru-theme-purple-25.10.1-r0.apk2025-07-13 20:17 757K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-01 18:06 757K 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-03 19:11 757K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-16 17:58 758K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 19:03 759K 
[   ]yaru-theme-olive-25.10.1-r0.apk2025-07-13 20:17 759K 
[   ]yaru-theme-prussiangreen-25.10.1-r0.apk2025-07-13 20:17 760K 
[   ]yaru-theme-red-25.10.1-r0.apk2025-07-13 20:17 760K 
[   ]yaru-theme-viridian-25.10.1-r0.apk2025-07-13 20:17 760K 
[   ]yaru-theme-magenta-25.10.1-r0.apk2025-07-13 20:17 762K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 19:02 763K 
[   ]yaru-theme-bark-25.10.1-r0.apk2025-07-13 20:17 763K 
[   ]yaru-theme-sage-25.10.1-r0.apk2025-07-13 20:17 763K 
[   ]dlib-19.24.4-r0.apk2024-10-25 18:59 765K 
[   ]yaru-theme-blue-25.10.1-r0.apk2025-07-13 20:17 766K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:22 766K 
[   ]rygel-45.0-r1.apk2025-11-18 18:30 767K 
[   ]darkreader-4.9.110-r0.apk2025-08-21 08:58 767K 
[   ]yaru-theme-mate-25.10.1-r0.apk2025-07-13 20:17 772K 
[   ]lurk-0.3.10-r0.apk2025-06-25 07:40 777K 
[   ]rot8-1.0.0-r0.apk2025-07-28 22:12 778K 
[   ]exabgp-pyc-4.2.24-r1.apk2025-09-06 16:20 778K 
[   ]vile-9.8z_p1-r2.apk2025-10-06 11:28 780K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 18:59 780K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:22 783K 
[   ]wiremix-0.7.0-r0.apk2025-08-22 12:01 788K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-01 18:06 789K 
[   ]py3-trimesh-pyc-4.10.0-r0.apk2025-11-25 05:17 790K 
[   ]jaq-2.3.0-r0.apk2025-07-20 10:54 791K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 19:02 791K 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 19:01 792K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 19:01 792K 
[   ]py3-dbus-fast-3.1.2-r0.apk2025-11-23 21:15 793K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 19:02 794K 
[   ]gnucobol-3.2-r0.apk2025-07-28 14:02 794K 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 19:02 795K 
[   ]twiggy-0.6.0-r3.apk2024-10-25 19:03 796K 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 19:00 798K 
[   ]komikku-pyc-1.85.0-r0.apk2025-08-22 08:26 798K 
[   ]buildcache-0.28.9-r0.apk2024-10-25 18:59 798K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 21:23 799K 
[   ]php82-pecl-xhprof-assets-2.3.10-r0.apk2025-10-24 14:48 800K 
[   ]lldb-next-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 800K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 19:02 801K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 15:08 802K 
[   ]py3-telegram-bot-pyc-22.5-r0.apk2025-11-20 10:14 802K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 19:02 806K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-25 19:01 806K 
[   ]sudo-ldap-1.9.17_p1-r0.apk2025-07-01 18:58 807K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 12:00 808K 
[   ]xvile-9.8z_p1-r2.apk2025-10-06 11:28 808K 
[   ]php81-pecl-mongodb-2.1.4-r0.apk2025-10-09 07:23 808K 
[   ]php82-pecl-mongodb-2.1.4-r0.apk2025-10-24 14:48 808K 
[   ]redhat-fonts-4.1.0-r1.apk2025-08-09 16:28 809K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 19:02 810K 
[   ]lutris-lang-0.5.19-r1.apk2025-09-16 18:09 810K 
[   ]tealdeer-1.8.0-r0.apk2025-10-28 01:54 811K 
[   ]APKINDEX.tar.gz2025-12-23 03:34 812K 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 19:01 814K 
[   ]sc-controller-pyc-0.5.5-r0.apk2025-12-14 08:56 814K 
[   ]pulumi-watch-0.1.5-r2.apk2024-10-25 19:02 815K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 19:00 815K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 19:02 816K 
[   ]draco-1.5.7-r2.apk2025-02-22 14:38 817K 
[   ]lutris-0.5.19-r1.apk2025-09-16 18:09 819K 
[   ]weggli-0.2.4-r1.apk2024-10-25 19:04 823K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 21:48 825K 
[   ]cimg-3.4.1-r0.apk2024-10-25 18:59 826K 
[   ]geonames-0.3.1-r2.apk2024-10-25 19:01 827K 
[   ]libetebase-0.5.8-r0.apk2025-09-27 19:40 830K 
[   ]build-next-gas-22.0.0_pre20251108-r0.apk2025-11-09 09:38 832K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 19:01 836K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-02 23:05 840K 
[   ]yaru-theme-25.10.1-r0.apk2025-07-13 20:17 840K 
[   ]kmscon-9.1.0-r1.apk2025-10-30 09:26 841K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 08:45 842K 
[   ]maxima-doc-5.48.1-r9.apk2025-08-22 10:16 846K 
[   ]visidata-pyc-3.3-r0.apk2025-09-15 13:56 846K 
[   ]lomiri-system-settings-lang-1.3.2-r0.apk2025-05-10 03:12 846K 
[   ]freshrss-doc-1.27.1-r0.apk2025-11-03 08:30 846K 
[   ]serie-0.5.5-r0.apk2025-12-10 01:26 849K 
[   ]plib-1.8.5-r3.apk2024-10-25 19:02 849K 
[   ]magpie-lang-0.9.4-r0.apk2025-10-16 17:07 849K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 19:01 852K 
[   ]repowerd-2023.07-r3.apk2025-02-22 14:39 854K 
[   ]perl-gtk2-1.24993-r7.apk2025-06-30 08:08 854K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 19:01 854K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 21:49 855K 
[   ]eiwd-3.10-r0.apk2025-10-07 11:53 857K 
[   ]qtile-pyc-0.33.0-r0.apk2025-09-17 07:56 858K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 17:40 859K 
[   ]simavr-dev-1.7-r1.apk2024-10-25 19:03 859K 
[   ]silc-client-1.1.11-r18.apk2025-06-30 08:08 860K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:38 860K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 18:59 864K 
[   ]cargo-show-asm-0.2.53-r0.apk2025-10-30 00:23 865K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 19:02 871K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 19:01 873K 
[   ]smile-2.11.0-r0.apk2025-12-21 11:40 873K 
[   ]hyfetch-2.0.5-r0.apk2025-11-21 17:34 874K 
[   ]py3-apsw-3.51.1.0-r0.apk2025-11-30 18:50 875K 
[   ]mml-1.0.0-r1.apk2025-09-30 00:40 875K 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 18:59 877K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 19:01 878K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 19:01 878K 
[   ]ndpi-dev-4.10-r0.apk2024-10-25 19:02 879K 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 08:50 886K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 19:03 886K 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 19:02 887K 
[   ]py3-pyglet-2.1.5-r1.apk2025-08-27 14:45 890K 
[   ]android-translation-layer-dbg-0_git20251006-r0.apk2025-10-06 21:54 892K 
[   ]rust-script-0.36.0-r0.apk2025-09-06 16:20 895K 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 18:59 903K 
[   ]build-next-bfd-22.0.0_pre20251108-r0.apk2025-11-09 09:38 907K 
[   ]agate-3.3.19-r0.apk2025-09-23 22:32 907K 
[   ]bochs-2.8-r1.apk2025-02-22 14:38 920K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 10:09 922K 
[   ]kodi-pvr-iptvsimple-21.10.2-r0.apk2025-03-02 16:15 926KKodi
[   ]php81-pecl-swoole-6.1.5-r0.apk2025-12-21 22:46 926K 
[   ]php82-pecl-swoole-6.1.5-r0.apk2025-12-21 22:23 929K 
[   ]wl-clip-persist-0.5.0-r0.apk2025-10-26 12:28 932K 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 19:02 934K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 19:02 936K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 11:22 936K 
[   ]libretro-pcem-0_git20180812-r0.apk2024-10-25 19:01 937K 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 19:01 937K 
[   ]barrier-2.4.0-r2.apk2025-02-22 14:38 939K 
[   ]php81-dev-8.1.34-r0.apk2025-12-17 02:27 939K 
[   ]lomiri-trust-store-2.0.2-r14.apk2025-12-18 14:24 941K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 19:02 942K 
[   ]homebank-lang-5.9.5-r0.apk2025-10-14 14:54 942K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 19:02 944K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 12:00 949K 
[   ]snapper-0.13.0-r0.apk2025-09-16 18:45 951K 
[   ]flann-dev-1.9.2-r1.apk2025-02-22 14:38 963K 
[   ]php82-dev-8.2.30-r1.apk2025-12-17 20:10 968K 
[   ]xwayland-satellite-0.7-r0.apk2025-08-30 22:13 969K 
[   ]xed-doc-3.8.4-r0.apk2025-10-23 07:55 971K 
[   ]lspmux-0.3.0-r0.apk2025-11-07 17:28 972K 
[   ]mkdotenv-0.4.9-r2.apk2025-12-04 22:48 1.0M 
[   ]qsstv-9.5.8-r2.apk2024-10-25 19:02 1.0M 
[   ]lomiri-telephony-service-0.6.2-r1.apk2025-12-19 11:40 1.0M 
[   ]net-predictable-1.5.1-r5.apk2025-12-04 22:48 1.0M 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 19:02 1.0M 
[   ]synapse-bt-cli-1.0-r4.apk2024-10-25 19:03 1.0M 
[   ]libabigail-2.8-r0.apk2025-11-02 19:38 1.0M 
[   ]qpdfview-0.5-r2.apk2025-01-29 20:02 1.0M 
[   ]hunspell-fr-7.7-r0.apk2025-12-17 20:11 1.0M 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 19:01 1.0M 
[   ]hwatch-0.3.11-r0.apk2024-10-25 19:01 1.0M 
[   ]macchina-6.4.0-r0.apk2025-06-25 03:45 1.0M 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 18:18 1.0M 
[   ]comrak-0.49.0-r0.apk2025-12-14 22:31 1.0M 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 19:02 1.0M 
[   ]sturmreader-3.7.2-r2.apk2025-09-06 16:20 1.0M 
[   ]clang-next-headers-22.0.0_pre20251108-r0.apk2025-11-09 09:38 1.0M 
[   ]grpc-health-check-0.1.1-r3.apk2024-10-25 19:01 1.0M 
[   ]elementary-photos-lang-8.0.1-r0.apk2024-12-02 23:40 1.0M 
[   ]tere-1.6.0-r0.apk2024-10-25 19:03 1.0M 
[   ]refind-0.14.2-r0.apk2024-10-25 19:03 1.0M 
[   ]binwalk-3.1.0-r0.apk2025-02-07 15:46 1.0M 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 18:59 1.0M 
[   ]please-0.5.6-r0.apk2025-08-29 08:32 1.0M 
[   ]yarn-berry-4.9.1-r0.apk2025-04-15 22:37 1.0M 
[   ]ol-2.6-r0.apk2025-05-01 06:30 1.0M 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-16 23:18 1.0M 
[   ]timeshift-lang-25.12.1-r0.apk2025-12-12 19:32 1.0M 
[   ]py3-beartype-0.22.9-r0.apk2025-12-15 18:33 1.0M 
[   ]volatility3-2.26.2-r0.apk2025-11-21 03:24 1.0M 
[   ]xonsh-pyc-0.19.9-r0.apk2025-10-31 15:15 1.0M 
[   ]rosenpass-0.2.2-r1.apk2025-02-01 18:23 1.0M 
[   ]lomiri-system-settings-1.3.2-r0.apk2025-05-10 03:12 1.0M 
[   ]leptosfmt-0.1.33-r0.apk2025-03-25 15:30 1.0M 
[   ]brial-1.2.11-r4.apk2024-10-25 18:59 1.0M 
[   ]cliphist-0.7.0-r1.apk2025-12-04 22:48 1.0M 
[   ]baresip-4.1.0-r0.apk2025-10-03 07:59 1.0M 
[   ]sshsrv-1.0-r17.apk2025-12-04 22:48 1.0M 
[   ]quodlibet-4.7.1-r0.apk2025-09-16 18:53 1.0M 
[   ]kodi-inputstream-adaptive-21.5.9-r0.apk2025-03-02 16:15 1.0MKodi
[   ]draw-0.1.1-r18.apk2025-12-04 22:48 1.0M 
[   ]py-spy-0.3.14-r3.apk2024-10-25 19:02 1.0M 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 10:09 1.0M 
[   ]imgdiff-1.0.2-r31.apk2025-12-04 22:48 1.0M 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 19:02 1.0M 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 18:59 1.0M 
[   ]swhkd-1.2.1-r0.apk2024-10-25 19:03 1.1M 
[   ]openterface-qt-0.5.7-r0.apk2025-12-22 05:32 1.1M 
[   ]supersonik-0.1.0-r3.apk2025-12-04 22:48 1.1M 
[   ]dvisvgm-3.4.4-r0.apk2025-05-18 22:44 1.1M 
[   ]cutechess-1.3.1-r0.apk2024-10-25 18:59 1.1M 
[   ]keydb-6.3.4-r0.apk2024-10-25 19:01 1.1M 
[   ]xendmail-0.4.4-r0.apk2025-08-06 08:51 1.1M 
[   ]flang-next-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 1.1M 
[   ]newlib-esp-xtensa-none-elf-0_git20240109-r0.apk2024-10-25 19:02 1.1M 
[   ]laze-0.1.38-r0.apk2025-07-20 20:22 1.1M 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 19:02 1.1M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 19:02 1.1M 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-24 21:28 1.1M 
[   ]tartube-pyc-2.5.0-r2.apk2025-12-09 12:12 1.1M 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 19:01 1.1M 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 07:49 1.1M 
[   ]fava-1.28-r0.apk2024-10-25 19:00 1.1M 
[   ]synapse-bt-1.0-r4.apk2024-10-25 19:03 1.1M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 11:40 1.1M 
[   ]halp-0.2.0-r0.apk2024-10-25 19:01 1.1M 
[   ]xed-3.8.4-r0.apk2025-10-23 07:55 1.1M 
[   ]limnoria-20240828-r0.apk2024-10-25 19:01 1.1M 
[   ]watchbind-0.2.1-r1.apk2024-10-25 19:04 1.1M 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 19:02 1.1M 
[   ]bzmenu-0.2.1-r3.apk2025-08-09 16:27 1.1M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 19:01 1.1M 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 08:45 1.1M 
[   ]qt6-qtgraphs-6.10.1-r0.apk2025-12-10 18:29 1.1M 
[   ]emacs-company-wubi-0_git20161031-r0.apk2025-12-12 10:06 1.1M 
[   ]dewduct-0.2.3-r0.apk2024-10-25 18:59 1.1M 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 19:02 1.1M 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 19:02 1.1M 
[   ]gearman-dev-1.1.22-r0.apk2025-09-06 16:20 1.1M 
[   ]cargo-update-18.0.0-r0.apk2025-10-28 07:26 1.1M 
[   ]ginac-1.8.9-r0.apk2025-05-25 07:49 1.1M 
[   ]filite-0.3.0-r2.apk2024-10-25 19:00 1.1M 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 18:59 1.1M 
[   ]lv_font_conv-1.5.3-r0.apk2025-08-19 19:54 1.1M 
[   ]lutris-pyc-0.5.19-r1.apk2025-09-16 18:09 1.1M 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 19:02 1.1M 
[   ]yaru-icon-theme-bark-25.10.1-r0.apk2025-07-13 20:17 1.1M 
[   ]kismet-logtools-0.202509.1-r0.apk2025-10-09 07:23 1.1M 
[   ]libntl-11.6.0-r0.apk2025-11-08 07:11 1.1M 
[   ]speedcrunch-0.12-r3.apk2024-10-25 19:03 1.1M 
[   ]cartero-0.2.2-r0.apk2025-10-13 00:00 1.1M 
[   ]tailspin-5.5.0-r0.apk2025-10-28 06:57 1.1M 
[   ]yaru-icon-theme-olive-25.10.1-r0.apk2025-07-13 20:17 1.1M 
[   ]asciinema-rs-0.5.1-r3.apk2024-10-25 18:59 1.1M 
[   ]yaru-icon-theme-magenta-25.10.1-r0.apk2025-07-13 20:17 1.1M 
[   ]elementary-photos-8.0.1-r0.apk2024-12-02 23:40 1.2M 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 19:02 1.2M 
[   ]yaru-icon-theme-viridian-25.10.1-r0.apk2025-07-13 20:17 1.2M 
[   ]py3-aiosmb-pyc-0.4.14-r0.apk2025-11-03 08:48 1.2M 
[   ]yaru-icon-theme-prussiangreen-25.10.1-r0.apk2025-07-13 20:17 1.2M 
[   ]sqlmap-pyc-1.9.12-r0.apk2025-12-12 20:05 1.2M 
[   ]repgrep-0.15.0-r0.apk2024-10-25 19:03 1.2M 
[   ]yaru-icon-theme-blue-25.10.1-r0.apk2025-07-13 20:17 1.2M 
[   ]taskwarrior-tui-0.26.3-r0.apk2025-03-26 10:24 1.2M 
[   ]ffmpeg4-libavformat-4.4.5-r4.apk2025-10-19 13:36 1.2M 
[   ]chimerautils-15.0.2-r0.apk2025-12-08 17:20 1.2M 
[   ]yaru-icon-theme-sage-25.10.1-r0.apk2025-07-13 20:17 1.2M 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:07 1.2M 
[   ]prjtrellis-1.4-r2.apk2024-10-25 19:02 1.2M 
[   ]py3-textual-pyc-5.3.0-r0.apk2025-10-27 08:46 1.2M 
[   ]yaru-icon-theme-purple-25.10.1-r0.apk2025-07-13 20:17 1.2M 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 19:01 1.2M 
[   ]yaru-icon-theme-red-25.10.1-r0.apk2025-07-13 20:17 1.2M 
[   ]mir-test-tools-2.25.2-r0.apk2025-12-18 14:24 1.2M 
[   ]yaru-sounds-25.10.1-r0.apk2025-07-13 20:17 1.2M 
[   ]compiz-lang-0.9.14.2-r13.apk2025-11-29 00:00 1.2M 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 11:51 1.2M 
[   ]electron-tasje-0.7.3-r0.apk2024-10-25 19:00 1.2M 
[   ]gnu-apl-1.9-r0.apk2024-10-25 19:01 1.2M 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 19:01 1.2M 
[   ]draco-tools-1.5.7-r2.apk2025-02-22 14:38 1.2M 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 19:02 1.2M 
[   ]kbs2-0.7.3-r0.apk2025-07-30 07:28 1.2M 
[   ]nyuu-0.4.2-r0.apk2025-10-12 21:04 1.2M 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 22:04 1.2M 
[   ]go-mtpfs-1.0.0-r32.apk2025-12-04 22:48 1.2M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-25 19:01 1.2M 
[   ]eclib-libs-20250627-r2.apk2025-11-26 08:24 1.2M 
[   ]volatility3-pyc-2.26.2-r0.apk2025-11-21 03:24 1.3M 
[   ]baikal-0.10.1-r1.apk2025-05-27 21:37 1.3M 
[   ]pcl-libs-1.14.1-r0.apk2025-02-22 14:39 1.3M 
[   ]ktx-4.3.2-r1.apk2025-06-10 02:57 1.3M 
[   ]dcmtk-3.6.9-r0.apk2025-01-19 16:47 1.3M 
[   ]emulationstation-2.11.2-r1.apk2024-10-25 19:00 1.3M 
[   ]up-0.4-r34.apk2025-12-04 22:49 1.3M 
[   ]sc-controller-0.5.5-r0.apk2025-12-14 08:56 1.3M 
[   ]cargo-machete-0.9.1-r0.apk2025-08-17 23:52 1.3M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 07:55 1.3M 
[   ]httplz-2.2.0-r0.apk2025-05-18 15:38 1.3M 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 09:41 1.3M 
[   ]lomiri-ui-toolkit-1.3.5110-r5.apk2025-12-18 14:24 1.3M 
[   ]duf-0.9.1-r2.apk2025-12-04 22:48 1.3M 
[   ]captive-browser-0_git20210801-r2.apk2025-12-04 22:48 1.3M 
[   ]hctl-0.2.7-r0.apk2025-05-14 00:10 1.3M 
[   ]perl-cpansa-db-20250807.001-r0.apk2025-09-01 13:16 1.3M 
[   ]onionshare-desktop-2.6.3-r1.apk2025-11-14 21:57 1.3M 
[   ]budgie-desktop-10.9.2-r0.apk2025-10-16 17:07 1.3M 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 19:02 1.3M 
[   ]ndpi-4.10-r0.apk2024-10-25 19:02 1.3M 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-17 17:30 1.3M 
[   ]chess-tui-2.0.0-r0.apk2025-12-13 01:10 1.3M 
[   ]mapserver-8.4.1-r1.apk2025-12-04 22:48 1.3M 
[   ]llvm-next-linker-tools-22.0.0_pre20251108-r0.apk2025-11-09 09:38 1.3M 
[   ]kodi-game-libretro-mame2003-0.78.0.54-r0.apk2024-10-25 19:01 1.3MKodi
[   ]yaru-icon-theme-mate-25.10.1-r0.apk2025-07-13 20:17 1.3M 
[   ]py3-litex-2024.04-r0.apk2024-10-25 19:02 1.3M 
[   ]keystone-0.9.2-r6.apk2024-10-25 19:01 1.3M 
[   ]lowjs-1.6.2-r2.apk2024-10-25 19:01 1.3M 
[   ]mobroute-doc-0.10.0-r5.apk2025-12-04 22:48 1.3M 
[   ]ckb-next-0.6.2-r1.apk2025-09-06 16:20 1.3M 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 19:02 1.3M 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 19:03 1.3M 
[   ]rathole-0.5.0-r0.apk2024-10-25 19:02 1.3M 
[   ]singular-doc-4.4.1_p2-r1.apk2025-11-26 08:24 1.3M 
[   ]genact-1.4.2-r0.apk2024-10-25 19:01 1.4M 
[   ]ktx-libs-4.3.2-r1.apk2025-06-10 02:57 1.4M 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-12 13:59 1.4M 
[   ]font-openmoji-16.0.0-r0.apk2025-08-11 06:31 1.4M 
[   ]faust-dev-2.79.3-r0.apk2025-06-07 17:01 1.4M 
[   ]lout-3.42.2-r0.apk2024-10-25 19:01 1.4M 
[   ]lomiri-content-hub-doc-2.2.1-r0.apk2025-12-09 11:10 1.4M 
[   ]gimp-plugin-gmic-3.6.0-r1.apk2025-10-25 09:58 1.4M 
[   ]flamelens-0.3.1-r0.apk2025-04-29 07:46 1.4M 
[   ]hfst-3.16.2-r0.apk2025-04-03 00:11 1.4M 
[   ]snippets-ls-0.0.4_git20240617-r10.apk2025-12-04 22:48 1.4M 
[   ]noggin-0.1-r23.apk2025-12-04 22:48 1.4M 
[   ]dart-sass-1.96.0-r0.apk2025-12-14 22:31 1.4M 
[   ]draco-static-1.5.7-r2.apk2025-02-22 14:38 1.4M 
[   ]primesieve-dev-12.10-r0.apk2025-11-25 19:08 1.4M 
[   ]makeclapman-2.4.4-r10.apk2025-12-04 22:48 1.4M 
[   ]beancount-language-server-1.4.1-r0.apk2025-08-03 10:41 1.4M 
[   ]reaction-2.2.1-r0.apk2025-09-23 22:32 1.4M 
[   ]quodlibet-lang-4.7.1-r0.apk2025-09-16 18:53 1.4M 
[   ]megazeux-2.93d-r0.apk2025-06-10 13:49 1.4M 
[   ]mame-lang-0.251-r0.apk2024-10-25 19:02 1.4M 
[   ]qgis-grass-3.44.5-r0.apk2025-12-04 22:48 1.4M 
[   ]asymptote-3.04-r0.apk2025-05-31 06:51 1.4M 
[   ]gpsbabel-1.10.0-r0.apk2025-11-07 17:28 1.4M 
[   ]wlroots0.17-dbg-0.17.4-r3.apk2025-08-13 08:04 1.4M 
[   ]ibus-typing-booster-pyc-2.29.0-r0.apk2025-12-16 15:10 1.5M 
[   ]oil-0.21.0-r0.apk2024-10-25 19:02 1.5M 
[   ]piping-server-0.18.0-r0.apk2024-10-25 19:02 1.5M 
[   ]nwg-look-1.0.6-r1.apk2025-12-04 22:48 1.5M 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 19:02 1.5M 
[   ]font-andika-six-6.210-r0.apk2025-09-28 12:01 1.5M 
[   ]spike-1.1.0-r0.apk2024-10-25 19:03 1.5M 
[   ]libabigail-dev-2.8-r0.apk2025-11-02 19:38 1.5M 
[   ]dotenv-linter-4.0.0-r0.apk2025-11-22 16:18 1.5M 
[   ]cadence-0.9.2-r1.apk2025-10-09 10:53 1.5M 
[   ]dartaotruntime-3.10.3-r0.apk2025-12-05 02:56 1.5M 
[   ]buildbot-pyc-4.3.0-r0.apk2025-08-25 13:20 1.5M 
[   ]xsane-0.999-r2.apk2024-10-25 19:04 1.5M 
[   ]youki-0.4.1-r0.apk2024-10-25 19:04 1.5M 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 20:52 1.5M 
[   ]ijq-1.2.0-r2.apk2025-12-04 22:48 1.5M 
[   ]freshrss-themes-1.27.1-r0.apk2025-11-03 08:30 1.5M 
[   ]perl-minion-11.0-r0.apk2025-08-27 09:14 1.5M 
[   ]ffsend-0.2.76-r4.apk2024-10-25 19:00 1.5M 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 19:02 1.5M 
[   ]libretro-flycast-0_git20220406-r4.apk2025-12-20 15:23 1.5M 
[   ]wlroots0.18-dbg-0.18.3-r0.apk2025-11-28 23:54 1.5M 
[   ]warzone2100-doc-4.5.5-r5.apk2025-12-20 15:24 1.5M 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-16 15:16 1.5M 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 19:01 1.5M 
[   ]drogon-1.9.4-r2.apk2025-05-22 07:16 1.5M 
[   ]kjv-0_git20221103-r0.apk2024-10-25 19:01 1.5M 
[   ]ffmpeg4-libavfilter-4.4.5-r4.apk2025-10-19 13:36 1.5M 
[   ]boinc-7.24.3-r0.apk2024-10-25 18:59 1.5M 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 18:59 1.5M 
[   ]hare-gi-0.1.0-r0.apk2025-08-25 17:31 1.5M 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 19:01 1.6M 
[   ]godini-1.0.0-r5.apk2025-12-04 22:48 1.6M 
[   ]siril-lang-1.2.6-r5.apk2025-09-27 21:15 1.6M 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 19:01 1.6M 
[   ]subtitleeditor-0.55.0-r0.apk2025-11-20 22:18 1.6M 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-01 18:06 1.6M 
[   ]voikko-fi-2.5-r0.apk2024-10-25 19:03 1.6M 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 18:59 1.6M 
[   ]zydis-doc-4.1.0-r0.apk2024-10-25 19:04 1.6M 
[   ]legume-1.4.2-r14.apk2025-12-04 22:48 1.6M 
[   ]gfan-0.6.2-r1.apk2024-10-25 19:01 1.6M 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 19:03 1.6M 
[   ]chocolate-doom-3.1.1-r0.apk2025-08-19 01:30 1.6M 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 21:23 1.6M 
[   ]igrep-1.2.0-r0.apk2024-10-25 19:01 1.6M 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 19:03 1.6M 
[   ]honeybee-0.2.0-r1.apk2025-07-08 23:26 1.6M 
[   ]freetube-0.23.12-r1.apk2025-11-10 21:45 1.6M 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 13:55 1.6M 
[   ]catppuccin-whiskers-2.5.1-r0.apk2025-11-29 18:59 1.6M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 18:59 1.6M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-19 16:47 1.6M 
[   ]py3-pyglet-pyc-2.1.5-r1.apk2025-08-27 14:45 1.6M 
[   ]apmpkg-1.5.1-r3.apk2024-10-25 18:59 1.6M 
[   ]stam-0.12.2-r0.apk2025-09-22 12:09 1.6M 
[   ]dstask-0.27-r4.apk2025-12-04 22:48 1.6M 
[   ]freshrss-1.27.1-r0.apk2025-11-03 08:30 1.6M 
[   ]aqemu-0.9.4-r3.apk2024-10-25 18:59 1.6M 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 19:02 1.6M 
[   ]libntl-static-11.6.0-r0.apk2025-11-08 07:11 1.6M 
[   ]nwg-bar-0.1.6-r15.apk2025-12-04 22:48 1.6M 
[   ]mage-1.13.0-r23.apk2025-05-14 00:10 1.6M 
[   ]empede-0.2.3-r0.apk2024-10-25 19:00 1.6M 
[   ]py3-tokenizers-0.21.2-r0.apk2025-06-29 22:41 1.7M 
[   ]flann-1.9.2-r1.apk2025-02-22 14:38 1.7M 
[   ]neocmakelsp-0.9.0-r0.apk2025-11-22 13:30 1.7M 
[   ]ouch-0.6.1-r0.apk2025-05-28 07:37 1.7M 
[   ]mint-y-theme-gtk4-2.3.2-r0.apk2025-11-14 21:57 1.7M 
[   ]watershot-0.2.0-r0.apk2024-10-25 19:04 1.7M 
[   ]pure-data-0.55.2-r0.apk2025-06-29 17:18 1.7M 
[   ]vertigo-0.3.4-r1.apk2025-12-04 22:49 1.7M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 19:02 1.7M 
[   ]chim-1.1.2-r1.apk2024-10-25 18:59 1.7M 
[   ]openocd-git-0_git20251018-r1.apk2025-10-20 21:45 1.7M 
[   ]rustscan-2.4.1-r0.apk2025-12-22 02:54 1.7M 
[   ]gmic-qt-3.6.0-r1.apk2025-10-25 09:58 1.7M 
[   ]protoc-gen-js-4.0.1-r0.apk2025-11-20 10:14 1.7M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-17 04:04 1.7M 
[   ]asdf-0.18.0-r5.apk2025-12-04 22:48 1.7M 
[   ]tomcat9-doc-9.0.112-r0.apk2025-12-01 20:49 1.7M 
[   ]php81-embed-8.1.34-r0.apk2025-12-17 02:27 1.7M 
[   ]sfizz-1.2.3-r1.apk2025-10-02 15:30 1.7M 
[   ]goxel-0.15.1-r0.apk2024-10-25 19:01 1.7M 
[   ]yamldiff-0.3.0-r3.apk2025-12-04 22:49 1.7M 
[   ]php81-apache2-8.1.34-r0.apk2025-12-17 02:27 1.7M 
[   ]wl-gammarelay-0.1.3-r4.apk2025-12-04 22:49 1.7M 
[   ]pomo-0.8.1-r28.apk2025-12-04 22:48 1.7M 
[   ]php81-cgi-8.1.34-r0.apk2025-12-17 02:27 1.8M 
[   ]nwg-menu-0.1.9-r4.apk2025-12-04 22:48 1.8M 
[   ]crispy-doom-7.1-r0.apk2025-09-24 02:49 1.8M 
[   ]llvm-next-libc++-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 1.8M 
[   ]daktilo-0.6.0-r0.apk2024-10-25 18:59 1.8M 
[   ]php81-litespeed-8.1.34-r0.apk2025-12-17 02:27 1.8M 
[   ]php82-embed-8.2.30-r1.apk2025-12-17 20:10 1.8M 
[   ]glslviewer-3.2.4-r2.apk2025-08-28 20:04 1.8M 
[   ]php81-8.1.34-r0.apk2025-12-17 02:27 1.8M 
[   ]fheroes2-1.1.13-r0.apk2025-12-22 16:41 1.8M 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 19:02 1.8M 
[   ]php82-apache2-8.2.30-r1.apk2025-12-17 20:10 1.8M 
[   ]android-build-tools-15.0-r1.apk2025-12-11 22:04 1.8M 
[   ]magpie-0.9.4-r0.apk2025-10-16 17:07 1.8M 
[   ]cocogitto-6.5.0-r0.apk2025-11-02 18:21 1.8M 
[   ]bacon-3.18.0-r0.apk2025-09-30 17:37 1.8M 
[   ]php82-cgi-8.2.30-r1.apk2025-12-17 20:10 1.8M 
[   ]memray-1.19.1-r0.apk2025-11-08 15:41 1.8M 
[   ]php82-litespeed-8.2.30-r1.apk2025-12-17 20:10 1.8M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 19:02 1.8M 
[   ]9base-6-r2.apk2024-10-25 18:59 1.8M 
[   ]nwg-dock-0.4.3-r5.apk2025-12-04 22:48 1.8M 
[   ]lutgen-1.0.1-r0.apk2025-11-20 10:14 1.8M 
[   ]sydbox-test-3.45.2-r0.apk2025-12-03 02:46 1.8M 
[   ]php82-8.2.30-r1.apk2025-12-17 20:10 1.8M 
[   ]quodlibet-pyc-4.7.1-r0.apk2025-09-16 18:53 1.8M 
[   ]srb2-2.2.15-r2.apk2025-12-20 15:23 1.8M 
[   ]sympow-2.023.7-r2.apk2024-10-25 19:03 1.8M 
[   ]php81-phpdbg-8.1.34-r0.apk2025-12-17 02:27 1.8M 
[   ]php81-fpm-8.1.34-r0.apk2025-12-17 02:27 1.8M 
[   ]efl-dev-1.28.1-r2.apk2025-03-24 04:33 1.8M 
[   ]fheroes2-lang-1.1.13-r0.apk2025-12-22 16:41 1.8M 
[   ]tintin-2.02.51-r0.apk2025-11-24 12:41 1.8M 
[   ]psi-plus-plugins-1.5.2096-r0.apk2025-09-30 12:51 1.8M 
[   ]libigraph-1.0.0-r0.apk2025-10-28 01:54 1.8M 
[   ]mint-themes-2.3.2-r0.apk2025-11-14 21:57 1.8M 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 19:03 1.8M 
[   ]mkcert-1.4.4-r24.apk2025-12-04 22:48 1.8M 
[   ]ovn-dev-25.09.2-r0.apk2025-12-14 22:31 1.8M 
[   ]eludris-0.3.3-r1.apk2024-10-25 19:00 1.9M 
[   ]sentrypeer-4.0.4-r0.apk2025-03-21 16:21 1.9M 
[   ]php82-phpdbg-8.2.30-r1.apk2025-12-17 20:10 1.9M 
[   ]php82-fpm-8.2.30-r1.apk2025-12-17 20:10 1.9M 
[   ]hitide-0.15.0-r0.apk2024-10-25 19:01 1.9M 
[   ]grcov-0.8.20-r0.apk2024-11-11 10:06 1.9M 
[   ]stgit-2.4.7-r1.apk2024-10-25 19:03 1.9M 
[   ]runst-0.2.0-r0.apk2025-11-14 23:27 1.9M 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 19:02 1.9M 
[   ]virtualgl-3.1.4-r0.apk2025-10-09 07:23 1.9M 
[   ]qgis-server-3.44.5-r0.apk2025-12-04 22:48 1.9M 
[   ]emmylua-check-0.17.0-r0.apk2025-12-22 00:13 1.9M 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-25 19:01 1.9M 
[   ]lld-next-libs-22.0.0_pre20251108-r0.apk2025-11-09 09:38 1.9M 
[   ]avida-0_git20190813-r1.apk2024-10-25 18:59 1.9M 
[   ]antibody-6.1.1-r33.apk2025-12-04 22:48 1.9M 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 19:03 1.9M 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-01-31 15:05 1.9M 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 19:03 1.9M 
[   ]66-dev-0.8.2.1-r0.apk2025-06-03 19:11 1.9M 
[   ]hfst-libs-3.16.2-r0.apk2025-04-03 00:11 1.9M 
[   ]numbat-1.16.0-r0.apk2025-08-19 13:10 1.9M 
[   ]fnm-1.38.1-r0.apk2024-11-25 14:28 1.9M 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 19:02 1.9M 
[   ]pwvucontrol-dbg-0.5.0-r0.apk2025-10-20 21:45 1.9M 
[   ]tachyon-scenes-0.99_beta6-r2.apk2025-12-10 18:29 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 19:02 1.9M 
[   ]gmsh-doc-4.15.0-r0.apk2025-11-01 00:21 1.9M 
[   ]decoder-0.7.0-r0.apk2025-04-10 14:09 1.9M 
[   ]calibre-doc-8.15.0-r0.apk2025-12-01 01:32 1.9M 
[   ]openocd-esp32-0_git20250707-r2.apk2025-10-20 21:45 1.9M 
[   ]ovn-25.09.2-r0.apk2025-12-14 22:31 2.0M 
[   ]yubikey-agent-0.1.6-r17.apk2025-12-04 22:49 2.0M 
[   ]xmoto-0.6.3-r0.apk2025-10-03 17:02 2.0M 
[   ]quark-0.5-r0.apk2025-10-11 17:10 2.0M 
[   ]edward-1.1.0-r0.apk2024-10-25 19:00 2.0M 
[   ]jackdaw-0.3.1-r2.apk2025-05-29 12:00 2.0M 
[   ]mint-y-theme-gtk3-2.3.2-r0.apk2025-11-14 21:57 2.0M 
[   ]gyosu-0.2.0-r3.apk2025-12-04 22:48 2.0M 
[   ]xmpp-dns-0.2.4-r29.apk2025-12-04 22:49 2.0M 
[   ]so-0.4.10-r0.apk2024-10-25 19:03 2.0M 
[   ]teapot-tools-0.4.2-r2.apk2024-10-25 19:03 2.0M 
[   ]pcem-17-r2.apk2024-10-25 19:02 2.0M 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 18:59 2.0M 
[   ]sydbox-syd-3.45.2-r0.apk2025-12-03 02:46 2.0M 
[   ]trippy-0.13.0-r0.apk2025-05-18 23:20 2.0M 
[   ]homebank-5.9.5-r0.apk2025-10-14 14:54 2.0M 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 19:01 2.0M 
[   ]icingaweb2-module-businessprocess-doc-2.5.2-r0.apk2025-08-13 15:54 2.0M 
[   ]lomiri-location-service-3.3.0-r5.apk2025-11-17 13:11 2.0M 
[   ]cpdf-2.8.1-r0.apk2025-05-10 03:12 2.1M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 10:09 2.1M 
[   ]wiki-tui-0.9.1-r0.apk2025-11-02 18:10 2.1M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 19:01 2.1M 
[   ]solarus-engine-1.7.0-r2.apk2025-07-20 19:28 2.1M 
[   ]sssd-2.11.1-r2.apk2025-11-20 22:18 2.1M 
[   ]alacritty-graphics-0.16.1-r0.apk2025-12-18 00:02 2.1M 
[   ]xed-lang-3.8.4-r0.apk2025-10-23 07:55 2.1M 
[   ]raku-inline-perl5-0.60_git20250327-r1.apk2025-08-29 08:22 2.1M 
[   ]charta-0.8.2-r1.apk2025-12-04 22:48 2.1M 
[   ]mesonlsp-4.3.7-r4.apk2025-06-19 05:35 2.1M 
[   ]brltty-6.7-r1.apk2025-04-03 00:11 2.1M 
[   ]wazero-1.9.0-r7.apk2025-12-04 22:49 2.1M 
[   ]cpu-x-5.2.0-r1.apk2025-05-06 10:05 2.1M 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 19:02 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 19:01 2.1M 
[   ]piler-1.4.7-r0.apk2025-01-16 10:17 2.1M 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 19:01 2.1M 
[   ]simgear-2024.1.1-r0.apk2025-03-05 00:17 2.2M 
[   ]authenticator-rs-0.8.6-r0.apk2025-09-01 18:29 2.2M 
[   ]vice-doc-3.9-r2.apk2025-09-27 14:27 2.2M 
[   ]stalwart-cli-0.15.2-r0.apk2025-12-23 01:24 2.2M 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 19:02 2.2M 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 19:01 2.2M 
[   ]libcpdf-2.8.1-r0.apk2025-05-10 03:12 2.2M 
[   ]yices2-2.6.5-r0.apk2025-03-02 23:05 2.2M 
[   ]darkradiant-doc-3.9.0-r0.apk2025-09-28 12:00 2.2M 
[   ]sqruff-0.25.26-r0.apk2025-04-13 21:40 2.2M 
[   ]commit-lsp-0.1.0-r0.apk2025-05-10 03:12 2.2M 
[   ]bitritter-0.1.1-r0.apk2024-10-25 18:59 2.3M 
[   ]musikcube-3.0.5-r0.apk2025-09-27 21:15 2.3M 
[   ]librespot-0.8.0-r0.apk2025-11-20 10:14 2.3M 
[   ]cargo-generate-0.23.5-r0.apk2025-10-04 13:14 2.3M 
[   ]emmylua-doc-cli-0.17.0-r0.apk2025-12-22 00:13 2.3M 
[   ]bootloose-0.7.1-r16.apk2025-12-04 22:48 2.3M 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-10 21:03 2.3M 
[   ]ergo-ldap-0.0.1-r22.apk2025-12-04 22:48 2.3M 
[   ]primecount-dev-7.20-r0.apk2025-11-25 19:08 2.3M 
[   ]kile-3.0_beta4-r0.apk2025-10-27 14:05 2.3M 
[   ]protoc-gen-go-grpc-1.77.0-r0.apk2025-12-22 15:14 2.3M 
[   ]rss-email-0.5.1-r0.apk2025-08-10 11:21 2.3M 
[   ]satellite-1.0.0-r33.apk2025-12-04 22:48 2.3M 
[   ]mir-2.25.2-r0.apk2025-12-18 14:24 2.3M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 19:01 2.3M 
[   ]klevernotes-1.1.0-r0.apk2024-10-25 19:01 2.3M 
[   ]onevpl-intel-gpu-25.3.4-r0.apk2025-11-02 20:59 2.3M 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-25 19:03 2.4M 
[   ]zulip-desktop-5.12.2-r0.apk2025-11-21 10:00 2.4M 
[   ]monetdb-11.33.11-r4.apk2024-10-25 19:02 2.4M 
[   ]gnome-mahjongg-49.0.1-r0.apk2025-10-18 21:03 2.4M 
[   ]resources-1.9.1-r0.apk2025-11-21 09:54 2.4M 
[   ]certstrap-1.3.0-r29.apk2025-12-04 22:48 2.4M 
[   ]hurl-7.1.0-r0.apk2025-12-05 18:41 2.4M 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 07:49 2.4M 
[   ]protoc-gen-go-1.36.10-r1.apk2025-12-04 22:48 2.4M 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 19:02 2.4M 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 18:59 2.4M 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:55 2.4M 
[   ]apostrophe-revealjs-5.2.1-r0.apk2025-07-03 10:58 2.4M 
[   ]wayfire-0.9.0-r0.apk2025-02-27 20:17 2.4M 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 19:04 2.4M 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 19:02 2.4M 
[   ]ssh-get-id-0.2.0-r0.apk2025-12-15 03:11 2.5M 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 19:02 2.5M 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 19:02 2.5M 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 19:02 2.5M 
[   ]flutter-developer-3.38.4-r1.apk2025-12-18 22:40 2.5M 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 17:03 2.5M 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 19:01 2.5M 
[   ]llvm-next-polly-22.0.0_pre20251108-r0.apk2025-11-09 09:38 2.5M 
[   ]eclipse-ecj-4.37-r0.apk2025-09-22 13:04 2.5M 
[   ]rizin-cutter-2.4.1-r0.apk2025-06-30 16:49 2.5M 
[   ]ircdog-0.5.4-r10.apk2025-12-04 22:48 2.5M 
[   ]warzone2100-lang-4.5.5-r5.apk2025-12-20 15:24 2.6M 
[   ]sigil-lang-2.7.0-r0.apk2025-12-18 03:08 2.6M 
[   ]secsipidx-libs-1.3.2-r17.apk2025-12-04 22:48 2.6M 
[   ]avahi2dns-0.1.0-r6.apk2025-12-04 22:48 2.6M 
[   ]goreman-0.3.15-r18.apk2025-12-04 22:48 2.6M 
[   ]aprilsh-server-0.7.12-r10.apk2025-12-04 22:48 2.6M 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 19:03 2.6M 
[   ]imapgoose-0.4.1-r1.apk2025-12-04 22:48 2.6M 
[   ]rtl8192eu-src-5.11.2.3_git20251017-r0.apk2025-12-04 22:48 2.6M 
[   ]qownnotes-25.12.5-r0.apk2025-12-14 22:31 2.6M 
[   ]ipp-usb-0.9.30-r5.apk2025-12-04 22:48 2.6M 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 19:01 2.6M 
[   ]pgcat-1.2.0-r1.apk2025-01-01 17:38 2.6M 
[   ]rtl8812au-src-5.6.4.2_git20250530-r0.apk2025-05-31 16:24 2.6M 
[   ]libgdcm-3.2.2-r3.apk2025-12-02 06:13 2.6M 
[   ]nauty-libs-2.9.1-r0.apk2025-09-08 16:40 2.6M 
[   ]copyq-13.0.0-r1.apk2025-12-04 22:48 2.6M 
[   ]py3-litex-pyc-2024.04-r0.apk2024-10-25 19:02 2.6M 
[   ]mame-tools-0.251-r0.apk2024-10-25 19:02 2.6M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 19:16 2.7M 
[   ]rizin-0.8.1-r0.apk2025-06-30 16:49 2.7M 
[   ]docker-volume-local-persist-1.3.0-r38.apk2025-12-04 22:48 2.7M 
[   ]terminology-1.14.0-r0.apk2025-05-27 22:49 2.7M 
[   ]gitoxide-0.14.0-r1.apk2024-10-25 19:01 2.7M 
[   ]botan2-libs-2.19.5-r0.apk2025-05-28 21:36 2.7M 
[   ]mmar-0.2.5-r1.apk2025-05-14 00:10 2.7M 
[   ]kile-lang-3.0_beta4-r0.apk2025-10-27 14:05 2.7M 
[   ]pitivi-2023.03-r2.apk2024-12-23 03:30 2.7M 
[   ]aports-glmr-0.2-r33.apk2025-12-04 22:48 2.7M 
[   ]innernet-1.6.1-r0.apk2024-10-25 19:01 2.7M 
[   ]kronosnet-dev-1.30-r0.apk2025-05-27 23:07 2.7M 
[   ]tty-proxy-0.0.2-r33.apk2025-12-04 22:49 2.7M 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 17:40 2.7M 
[   ]mailsec-check-0_git20210729-r31.apk2025-12-04 22:48 2.7M 
[   ]tartube-2.5.0-r2.apk2025-12-09 12:12 2.7M 
[   ]recoll-1.37.5-r1.apk2024-10-25 19:03 2.7M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 19:01 2.7M 
[   ]tangctl-0_git20241007-r9.apk2025-12-04 22:48 2.8M 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-10-25 19:01 2.8M 
[   ]android-translation-layer-0_git20251006-r0.apk2025-10-06 21:54 2.8M 
[   ]normaliz-libs-3.10.4-r3.apk2025-11-26 08:24 2.8M 
[   ]vym-2.9.26-r0.apk2024-10-25 19:03 2.8M 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 19:03 2.8M 
[   ]k3sup-0.13.6-r10.apk2025-12-04 22:48 2.8M 
[   ]tmpl-0.4.0-r16.apk2025-12-04 22:48 2.8M 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 19:01 2.8M 
[   ]cloud-hypervisor-48.0-r0.apk2025-10-28 07:21 2.8M 
[   ]emmylua-ls-0.17.0-r0.apk2025-12-22 00:13 2.8M 
[   ]hpnssh-18.8.0-r0.apk2025-11-28 19:38 2.8M 
[   ]siril-1.2.6-r5.apk2025-09-27 21:15 2.9M 
[   ]fceux-2.6.6-r4.apk2025-09-27 14:27 2.9M 
[   ]sos-0.8-r36.apk2025-12-04 22:48 2.9M 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-10 03:12 2.9M 
[   ]secsipidx-1.3.2-r17.apk2025-12-04 22:48 2.9M 
[   ]dmarc-cat-0.15.0-r10.apk2025-12-04 22:48 2.9M 
[   ]symengine-0.12.0-r0.apk2024-10-25 19:03 2.9M 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 19:01 2.9M 
[   ]eww-0.6.0-r0.apk2025-11-09 19:47 2.9M 
[   ]comet-0.3.1-r0.apk2025-11-29 19:49 2.9M 
[   ]redlib-0.36.0-r0.apk2025-10-27 08:46 2.9M 
[   ]sipexer-1.2.0-r8.apk2025-12-04 22:48 2.9M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]budgie-control-center-1.4.0-r0.apk2025-10-16 17:07 3.0M 
[   ]sydbox-oci-3.45.2-r0.apk2025-12-03 02:46 3.0M 
[   ]apt-swarm-0.5.1-r1.apk2025-10-12 13:42 3.0M 
[   ]pyonji-0.1.0-r11.apk2025-12-04 22:48 3.0M 
[   ]hub-2.14.2-r36.apk2025-12-04 22:48 3.0M 
[   ]consul-replicate-0.4.0-r36.apk2025-12-04 22:48 3.0M 
[   ]simh-3.11.1-r1.apk2024-10-25 19:03 3.0M 
[   ]octoprint-1.10.3-r0.apk2024-12-25 22:04 3.0M 
[   ]lychee-0.19.1-r0.apk2025-06-17 07:59 3.0M 
[   ]recyclarr-7.5.2-r0.apk2025-12-13 17:37 3.0M 
[   ]msh-2.5.0-r17.apk2025-12-04 22:48 3.1M 
[   ]ripasso-cursive-0.7.0-r0.apk2025-05-23 09:26 3.1M 
[   ]chimerautils-dbg-15.0.2-r0.apk2025-12-08 17:20 3.1M 
[   ]borogove-sdk-0_git20251206-r0.apk2025-12-07 18:05 3.1M 
[   ]asymptote-doc-3.04-r0.apk2025-05-31 06:51 3.1M 
[   ]libretro-dolphin-0_git20220407-r2.apk2024-10-25 19:01 3.1M 
[   ]odin-0.2025.12-r0.apk2025-12-02 21:59 3.2M 
[   ]oha-1.12.1-r0.apk2025-12-20 00:03 3.2M 
[   ]steamguard-cli-0.17.1-r0.apk2025-07-10 22:02 3.2M 
[   ]gmic-libs-3.6.0-r1.apk2025-10-25 09:58 3.2M 
[   ]walk-1.13.0-r8.apk2025-12-04 22:49 3.2M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-25 19:00 3.3M 
[   ]hardinfo2-2.2.13-r0.apk2025-09-28 12:01 3.3M 
[   ]drupal7-7.103-r0.apk2024-12-04 17:27 3.3M 
[   ]butane-0.25.1-r2.apk2025-12-04 22:48 3.3M 
[   ]lotide-0.15.0-r0.apk2024-10-25 19:01 3.3M 
[   ]libcpdf-static-2.8.1-r0.apk2025-05-10 03:12 3.3M 
[   ]victoria-logs-vlogscli-1.41.1-r0.apk2025-12-19 16:28 3.3M 
[   ]lbb-0.10.4-r1.apk2025-12-04 22:48 3.3M 
[   ]aws-ecr-get-login-password-1.0.0_rc2-r1.apk2025-12-04 22:48 3.3M 
[   ]sonicradio-0.8.4-r2.apk2025-12-04 22:48 3.4M 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 19:02 3.4M 
[   ]aprilsh-client-0.7.12-r10.apk2025-12-04 22:48 3.4M 
[   ]svls-0.2.14-r0.apk2025-12-13 02:58 3.4M 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 19:03 3.4M 
[   ]gliderlabs-sigil-0.11.0-r10.apk2025-12-04 22:48 3.4M 
[   ]jsonnet-bundler-0.6.0-r10.apk2025-12-04 22:48 3.4M 
[   ]lomiri-download-manager-doc-0.3.0-r0.apk2025-12-09 11:10 3.4M 
[   ]webhookd-1.20.2-r5.apk2025-12-04 22:49 3.4M 
[   ]openrdap-0.9.1-r0.apk2025-06-24 15:50 3.4M 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 19:02 3.4M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 19:02 3.4M 
[   ]maildir-rank-addr-1.4.1-r1.apk2025-12-04 22:48 3.5M 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 19:01 3.5M 
[   ]ruuvi-prometheus-0.1.9-r7.apk2025-12-04 22:48 3.5M 
[   ]pwru-1.0.7-r10.apk2025-12-04 22:48 3.5M 
[   ]nauty-dev-2.9.1-r0.apk2025-09-08 16:40 3.5M 
[   ]netscanner-0.5.1-r1.apk2024-10-25 19:02 3.5M 
[   ]dockerize-0.9.6-r2.apk2025-12-04 22:48 3.6M 
[   ]wiimms-iso-tools-3.04a-r1.apk2024-10-25 19:04 3.6M 
[   ]phpactor-2025.10.17.0-r0.apk2025-11-19 04:05 3.6M 
[   ]qgis-dev-3.44.5-r0.apk2025-12-04 22:48 3.6M 
[   ]prometheus-smtp2go-exporter-0.1.1-r4.apk2025-12-04 22:48 3.6M 
[   ]khinsider-2.0.7-r25.apk2025-12-04 22:48 3.6M 
[   ]kanidm-clients-1.8.5-r0.apk2025-12-13 18:49 3.6M 
[   ]ticker-5.0.7-r7.apk2025-12-04 22:48 3.7M 
[   ]pug-0.6.5-r0.apk2025-12-04 22:48 3.7M 
[   ]hilbish-2.3.4-r10.apk2025-12-04 22:48 3.7M 
[   ]apx-2.4.5-r5.apk2025-12-04 22:48 3.7M 
[   ]dstask-import-0.27-r4.apk2025-12-04 22:48 3.7M 
[   ]porla-0.41.0-r2.apk2025-02-24 17:12 3.7M 
[   ]firectl-0.2.0-r24.apk2025-12-04 22:48 3.7M 
[   ]lomiri-gallery-app-3.0.2-r2.apk2025-08-19 09:09 3.7M 
[   ]gomp-1.0.0-r17.apk2025-12-04 22:48 3.8M 
[   ]kapow-0.7.1-r18.apk2025-12-04 22:48 3.8M 
[   ]maildir2rss-0.0.7-r10.apk2025-12-04 22:48 3.8M 
[   ]prometheus-ceph-exporter-4.2.5-r9.apk2025-12-04 22:48 3.8MCeph
[   ]openfire-doc-4.8.1-r1.apk2024-12-03 15:45 3.8M 
[   ]odin-vendor-0.2025.12-r0.apk2025-12-02 21:59 3.8M 
[   ]gobuster-3.8.0-r3.apk2025-12-04 22:48 3.8M 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-14 00:10 3.8M 
[   ]kubeconform-0.7.0-r3.apk2025-12-04 22:48 3.8M 
[   ]webtunnel-0.0.2-r6.apk2025-12-04 22:49 3.8M 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 19:02 3.8M 
[   ]yaru-common-25.10.1-r0.apk2025-07-13 20:17 3.8M 
[   ]alpine-lift-0.2.0-r28.apk2025-12-04 22:48 3.9MAlpine Linux
[   ]clang-next-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 3.9M 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 19:02 3.9M 
[   ]amule-2.3.3-r13.apk2024-10-25 18:59 3.9M 
[   ]lomiri-0.5.0-r4.apk2025-12-18 14:24 3.9M 
[   ]certigo-1.16.0-r28.apk2025-12-04 22:48 3.9M 
[   ]ghq-1.8.0-r6.apk2025-12-04 22:48 3.9M 
[   ]budgie-control-center-lang-1.4.0-r0.apk2025-10-16 17:07 3.9M 
[   ]tty-share-2.4.0-r23.apk2025-12-04 22:49 3.9M 
[   ]libwasmtime-39.0.1-r0.apk2025-12-08 21:02 3.9M 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 19:02 3.9M 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 18:59 3.9M 
[   ]comics-downloader-0.33.8-r15.apk2025-12-04 22:48 3.9M 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 19:02 3.9M 
[   ]autorestic-1.8.3-r10.apk2025-12-04 22:48 3.9M 
[   ]ghidra-tutorials-12.0-r0.apk2025-12-13 16:58 4.0M 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 19:03 4.0M 
[   ]sly-1.0.0-r4.apk2025-11-16 17:55 4.0M 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 19:01 4.0M 
[   ]wabt-1.0.37-r0.apk2025-04-06 21:41 4.0M 
[   ]ntpd-rs-1.6.2-r2.apk2025-12-18 19:45 4.0M 
[   ]dive-0.13.0-r7.apk2025-12-04 22:48 4.0M 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 19:01 4.0M 
[   ]victoria-logs-vlagent-1.41.1-r0.apk2025-12-19 16:28 4.0M 
[   ]dnote-0.16.0-r0.apk2025-12-10 18:29 4.0M 
[   ]py3-drf-yasg-1.21.10-r0.apk2025-07-15 08:26 4.0M 
[   ]mstflint-4.26.0.1-r0.apk2024-10-25 19:02 4.1M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 19:02 4.1M 
[   ]cura-lang-5.2.2-r1.apk2024-10-25 18:59 4.1M 
[   ]sshm-1.9.0-r1.apk2025-12-04 22:48 4.1M 
[   ]speedtest_exporter-0.3.2-r20.apk2025-12-04 22:48 4.1M 
[   ]muse-doc-4.2.1-r2.apk2025-05-14 00:10 4.1M 
[   ]manifest-tool-2.2.0-r6.apk2025-12-04 22:48 4.1M 
[   ]zot-exporter-2.1.8-r2.apk2025-12-04 22:49 4.1M 
[   ]dprint-0.49.1-r0.apk2025-04-13 22:35 4.1M 
[   ]pathvector-6.3.2-r18.apk2025-12-04 22:48 4.1M 
[   ]invidtui-0.4.6-r10.apk2025-12-04 22:48 4.2M 
[   ]openocd-git-dbg-0_git20251018-r1.apk2025-10-20 21:45 4.3M 
[   ]rtl8821ce-src-6.16_git20250820-r0.apk2025-09-01 07:03 4.3M 
[   ]xemu-0.8.74-r0.apk2025-06-19 00:46 4.3M 
[   ]exercism-3.2.0-r18.apk2025-12-04 22:48 4.3M 
[   ]newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk2024-10-25 19:02 4.3M 
[   ]newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk2024-10-25 19:02 4.3M 
[   ]php81-pecl-grpc-1.76.0-r0.apk2025-10-24 19:20 4.3M 
[   ]php82-pecl-grpc-1.76.0-r0.apk2025-10-24 19:20 4.3M 
[   ]pspp-dbg-2.0.1-r1.apk2025-10-06 11:28 4.3M 
[   ]newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk2024-10-25 19:02 4.3M 
[   ]py3-nikola-8.3.3-r0.apk2025-10-11 10:49 4.3M 
[   ]mkbrr-1.18.0-r1.apk2025-12-04 22:48 4.3M 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 19:02 4.3M 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 18:59 4.4M 
[   ]cortex-tenant-1.15.7-r2.apk2025-12-04 22:48 4.4M 
[   ]docker-machine-driver-kvm2-1.34.0-r10.apk2025-12-04 22:48 4.4M 
[   ]rustical-0.9.14-r0.apk2025-11-02 07:58 4.4M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r33.apk2025-12-04 22:48 4.4M 
[   ]i3status-rust-0.34.0-r0.apk2025-07-19 15:30 4.4M 
[   ]flare-engine-1.14-r1.apk2025-11-07 17:28 4.4M 
[   ]spotify-player-0.20.4-r0.apk2025-03-02 15:55 4.4M 
[   ]gotify-cli-2.3.2-r10.apk2025-12-04 22:48 4.5M 
[   ]newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk2024-10-25 19:02 4.5M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-13 22:33 4.5M 
[   ]goguma-0.8.0-r4.apk2025-11-16 17:55 4.5M 
[   ]tonutils-reverse-proxy-0.4.6-r4.apk2025-12-04 22:49 4.5M 
[   ]kile-doc-3.0_beta4-r0.apk2025-10-27 14:05 4.6M 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 19:01 4.6M 
[   ]fq-0.16.0-r0.apk2025-12-12 19:57 4.6M 
[   ]java-jtreg-7.5_p1-r0.apk2024-10-27 13:25 4.6M 
[   ]geodns-logs-3.3.0-r18.apk2025-12-04 22:48 4.6M 
[   ]sydbox-3.45.2-r0.apk2025-12-03 02:46 4.6M 
[   ]incus-ui-canonical-0.18.0-r0.apk2025-08-22 12:18 4.7M 
[   ]jsonnet-language-server-0.16.0-r1.apk2025-12-04 22:48 4.7M 
[   ]mqtt2prometheus-0.1.7-r21.apk2025-12-04 22:48 4.7M 
[   ]cargo-udeps-0.1.59-r0.apk2025-10-03 01:20 4.7M 
[   ]flint-3.4.0-r0.apk2025-11-26 08:24 4.7M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 19:02 4.7M 
[   ]mtg-2.1.7-r26.apk2025-12-04 22:48 4.7M 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 10:09 4.7M 
[   ]mobroute-0.10.0-r5.apk2025-12-04 22:48 4.7M 
[   ]acmetool-0.2.2-r18.apk2025-12-04 22:48 4.7M 
[   ]wgcf-2.2.29-r1.apk2025-12-04 22:49 4.8M 
[   ]ytt-0.52.1-r2.apk2025-12-04 22:49 4.8M 
[   ]ocaml-cpdf-2.8.1-r0.apk2025-05-10 03:12 4.8M 
[   ]kubectl-krew-0.4.5-r7.apk2025-12-04 22:48 4.8M 
[   ]reg-0.16.1-r33.apk2025-12-04 22:48 4.8M 
[   ]tqm-1.18.0-r0.apk2025-12-13 17:47 4.9M 
[   ]gx-0.14.3-r35.apk2025-12-04 22:48 4.9M 
[   ]prometheus-smartctl-exporter-0.14.0-r5.apk2025-12-04 22:48 4.9M 
[   ]atac-0.18.1-r0.apk2024-11-25 21:56 4.9M 
[   ]mattermost-desktop-6.0.1-r0.apk2025-12-05 16:00 4.9M 
[   ]qownnotes-lang-25.12.5-r0.apk2025-12-14 22:31 4.9M 
[   ]sigil-2.7.0-r0.apk2025-12-18 03:08 4.9M 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 19:02 4.9M 
[   ]abc-0_git20240102-r0.apk2024-10-25 18:59 4.9M 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]dhewm3-1.5.4-r0.apk2025-02-22 14:38 5.0M 
[   ]alertmanager-irc-relay-0.5.1-r1.apk2025-09-06 16:20 5.0M 
[   ]cargo-shuttle-0.56.6-r0.apk2025-07-29 07:56 5.0M 
[   ]packwiz-0_git20251102-r1.apk2025-12-04 22:48 5.0M 
[   ]prometheus-fastly-exporter-10.1.0-r0.apk2025-12-12 15:04 5.0M 
[   ]ostui-1.0.4-r0.apk2025-09-11 02:50 5.0M 
[   ]prometheus-opnsense-exporter-0.0.11-r2.apk2025-12-04 22:48 5.0M 
[   ]nzbget-25.4-r0.apk2025-11-17 17:13 5.0M 
[   ]wiimms-szs-tools-2.26a-r0.apk2024-10-25 19:04 5.0M 
[   ]geodns-3.3.0-r18.apk2025-12-04 22:48 5.0M 
[   ]qtox-1.18.3-r0.apk2025-11-29 16:53 5.0M 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-14 00:10 5.0M 
[   ]tfupdate-0.8.2-r11.apk2025-12-04 22:48 5.1M 
[   ]fathom-1.3.1-r18.apk2025-12-04 22:48 5.1M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 19:02 5.1M 
[   ]gx-go-1.9.0-r37.apk2025-12-04 22:48 5.1M 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 19:00 5.1M 
[   ]secsipidx-dev-1.3.2-r17.apk2025-12-04 22:48 5.1M 
[   ]cargo-geiger-0.13.0-r0.apk2025-10-10 17:31 5.1M 
[   ]php81-pecl-couchbase-4.3.0-r0.apk2025-06-15 03:57 5.1M 
[   ]envconsul-0.13.4-r1.apk2025-12-04 22:48 5.2M 
[   ]igt-gpu-tools-2.3-r0.apk2025-12-19 07:45 5.2M 
[   ]php82-pecl-couchbase-4.3.0-r0.apk2025-10-24 14:48 5.2M 
[   ]rizin-libs-0.8.1-r0.apk2025-06-30 16:49 5.2M 
[   ]fplll-libs-5.5.0-r0.apk2024-11-17 04:04 5.2M 
[   ]cvise-2.11.0-r0.apk2025-03-11 11:18 5.2M 
[   ]acmeleaf-0.2.0-r1.apk2025-12-04 22:48 5.2M 
[   ]conntracct-0.2.7-r36.apk2025-12-04 22:48 5.3M 
[   ]s5cmd-2.3.0-r8.apk2025-12-04 22:48 5.3M 
[   ]pure-data-doc-0.55.2-r0.apk2025-06-29 17:18 5.4M 
[   ]victoria-logs-1.41.1-r0.apk2025-12-19 16:28 5.5M 
[   ]cosmopolitan-1.0-r0.apk2024-10-25 18:59 5.5M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 19:01 5.5M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 19:02 5.6M 
[   ]pihole-6.2.3-r0.apk2025-06-20 18:05 5.6M 
[   ]autoscan-1.4.0-r16.apk2025-12-04 22:48 5.6M 
[   ]templ-0.3.960-r1.apk2025-12-04 22:48 5.6M 
[   ]scalingo-1.30.0-r15.apk2025-12-04 22:48 5.6M 
[   ]flutter-glfw-3.38.4-r1.apk2025-12-18 22:40 5.6M 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 19:02 5.6M 
[   ]flutter-gtk-3.38.4-r1.apk2025-12-18 22:40 5.7M 
[   ]nauty-2.9.1-r0.apk2025-09-08 16:40 5.7M 
[   ]speedtest-go-1.1.5-r20.apk2025-12-04 22:48 5.7M 
[   ]comics-downloader-gui-0.33.8-r15.apk2025-12-04 22:48 5.7M 
[   ]singular-static-4.4.1_p2-r1.apk2025-11-26 08:24 5.8M 
[   ]advancemame-data-3.9-r4.apk2024-10-25 18:59 5.8M 
[   ]alps-0_git20230807-r17.apk2025-12-04 22:48 5.8M 
[   ]pict-rs-0.5.19-r1.apk2025-10-14 14:16 5.8M 
[   ]kubectl-oidc_login-1.34.2-r1.apk2025-12-04 22:48 5.8M 
[   ]cinny-web-4.10.2-r0.apk2025-11-08 07:26 5.8M 
[   ]parpar-0.4.5-r0.apk2025-10-12 21:03 5.8M 
[   ]pypy3-pyc-7.3.19-r0.apk2025-11-01 15:12 5.8M 
[   ]fplll-static-5.5.0-r0.apk2024-11-17 04:04 5.9M 
[   ]kiesel-0_git20251119-r0.apk2025-11-21 03:24 5.9M 
[   ]qbittorrent-cli-2.2.0-r5.apk2025-12-04 22:48 5.9M 
[   ]compiz-0.9.14.2-r13.apk2025-11-29 00:00 5.9M 
[   ]spacectl-1.12.0-r6.apk2025-12-04 22:48 6.0M 
[   ]oniux-0.6.1-r0.apk2025-09-08 15:37 6.0M 
[   ]ocaml-camlpdf-2.8.1-r0.apk2025-05-10 03:12 6.0M 
[   ]lefthook-2.0.4-r1.apk2025-12-04 22:48 6.0M 
[   ]cargo-crev-0.26.3-r0.apk2025-03-02 16:12 6.0M 
[   ]virter-0.29.0-r5.apk2025-12-04 22:49 6.0M 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 20:17 6.1M 
[   ]rustic-0.9.3-r0.apk2024-10-25 19:03 6.1M 
[   ]muse-4.2.1-r2.apk2025-05-14 00:10 6.1M 
[   ]tofu-ls-0.2.0-r1.apk2025-12-04 22:48 6.1M 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-13 22:33 6.1M 
[   ]drone-cli-1.8.0-r15.apk2025-12-04 22:48 6.1M 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-12 13:59 6.2M 
[   ]glow-2.1.1-r5.apk2025-12-04 22:48 6.2M 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 19:01 6.3M 
[   ]sydbox-utils-3.45.2-r0.apk2025-12-03 02:46 6.3M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 19:03 6.3M 
[   ]go-passbolt-cli-0.3.2-r8.apk2025-12-04 22:48 6.4M 
[   ]libdcmtk-3.6.9-r0.apk2025-01-19 16:47 6.4M 
[   ]crowdsec-splunk-plugin-1.7.4-r0.apk2025-12-05 02:57 6.4M 
[   ]ffmpeg4-libavcodec-4.4.5-r4.apk2025-10-19 13:36 6.4M 
[   ]crowdsec-sentinel-plugin-1.7.4-r0.apk2025-12-05 02:57 6.4M 
[   ]crowdsec-http-plugin-1.7.4-r0.apk2025-12-05 02:57 6.5M 
[   ]crowdsec-file-plugin-1.7.4-r0.apk2025-12-05 02:57 6.5M 
[   ]crowdsec-slack-plugin-1.7.4-r0.apk2025-12-05 02:57 6.5M 
[   ]crowdsec-email-plugin-1.7.4-r0.apk2025-12-05 02:57 6.5M 
[   ]goshs-1.1.3-r0.apk2025-12-18 21:15 6.5M 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 19:02 6.5M 
[   ]warzone2100-4.5.5-r5.apk2025-12-20 15:23 6.5M 
[   ]ldc-runtime-cross-loongarch64-1.41.0-r0.apk2025-06-07 16:13 6.5M 
[   ]ty-0.0.4-r0.apk2025-12-21 18:50 6.6M 
[   ]mautrix-linkedin-0.2512.0-r0.apk2025-12-16 18:25 6.6M 
[   ]lldb-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 6.6M 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 08:30 6.7M 
[   ]ovn-dbg-25.09.2-r0.apk2025-12-14 22:31 6.7M 
[   ]libwasmtime-static-39.0.1-r0.apk2025-12-08 21:02 6.7M 
[   ]mautrix-zulip-0.2511.0-r1.apk2025-12-04 22:48 6.7M 
[   ]inlyne-0.5.0-r0.apk2025-12-22 02:54 6.7M 
[   ]sqlmap-1.9.12-r0.apk2025-12-12 20:05 6.7M 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 18:59 6.7M 
[   ]amdgpu_top-0.11.0-r0.apk2025-09-03 00:47 6.8M 
[   ]mailctl-0.9.2-r0.apk2024-10-25 19:01 6.8M 
[   ]tomcat9-9.0.112-r0.apk2025-12-01 20:49 6.8M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 19:01 6.8M 
[   ]ldc-runtime-cross-aarch64-1.41.0-r0.apk2025-06-07 16:13 6.8M 
[   ]ldc-runtime-cross-ppc64le-1.41.0-r0.apk2025-06-07 16:13 6.9M 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 19:03 6.9M 
[   ]go-jsonnet-0.21.0-r5.apk2025-12-04 22:48 6.9M 
[   ]tempo-query-2.9.0-r1.apk2025-12-04 22:48 6.9M 
[   ]upterm-server-0.17.0-r1.apk2025-12-04 22:49 7.0M 
[   ]zrepl-0.6.1-r16.apk2025-12-04 22:49 7.1M 
[   ]ldc-runtime-cross-riscv64-1.41.0-r0.apk2025-06-07 16:13 7.1M 
[   ]mlir-next-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 7.1M 
[   ]telegram-bot-api-9.1-r0.apk2025-08-02 10:39 7.2M 
[   ]telegram-tdlib-1.8.51-r0.apk2025-08-02 10:25 7.2M 
[   ]nom-2.8.0-r8.apk2025-12-04 22:48 7.2M 
[   ]tauri-cli-2.4.0-r0.apk2025-04-03 00:12 7.3M 
[   ]unicorn-2.1.4-r0.apk2025-10-14 14:38 7.3M 
[   ]upterm-0.17.0-r1.apk2025-12-04 22:49 7.4M 
[   ]gb-0.4.4-r36.apk2025-12-04 22:48 7.4M 
[   ]py3-dash-2.18.2-r0.apk2025-04-13 21:31 7.4M 
[   ]tanka-0.35.0-r1.apk2025-12-04 22:48 7.5M 
[   ]boa-cli-0.21-r0.apk2025-10-24 20:45 7.5M 
[   ]kompose-1.31.2-r15.apk2025-12-04 22:48 7.5M 
[   ]ovhcloud-cli-0.9.0-r0.apk2025-12-14 10:05 7.6M 
[   ]azote-1.14.0-r0.apk2024-12-14 21:22 7.6M 
[   ]please-build-17.22.0-r1.apk2025-12-04 22:48 7.6M 
[   ]yaegi-0.16.1-r13.apk2025-12-04 22:49 7.6M 
[   ]filebrowser-2.27.0-r16.apk2025-12-04 22:48 7.7M 
[   ]psst-0_git20240526-r1.apk2024-10-25 19:02 7.7M 
[   ]supercollider-3.13.0-r6.apk2025-02-08 23:48 7.7M 
[   ]biome-2.3.10-r0.apk2025-12-23 03:26 7.8M 
[   ]py3-okonomiyaki-2.0.0-r1.apk2025-10-14 19:03 7.9M 
[   ]glmark2-2023.01-r1.apk2024-10-25 19:01 7.9M 
[   ]protoconf-0.1.7-r18.apk2025-12-04 22:48 8.0M 
[   ]fluent-bit-4.2.0-r0.apk2025-12-04 22:48 8.0M 
[   ]desync-0.9.6-r10.apk2025-12-04 22:48 8.0M 
[   ]faust-2.79.3-r0.apk2025-06-07 17:01 8.1M 
[   ]ghc-release-cabal-3.17.0.0_pre20251116-r0.apk2025-11-17 10:23 8.1M 
[   ]wlroots0.18-static-0.18.3-r0.apk2025-11-28 23:54 8.1M 
[   ]kanidm-unixd-clients-1.8.5-r0.apk2025-12-13 18:49 8.2M 
[   ]kine-0.10.1-r18.apk2025-12-04 22:48 8.2M 
[   ]fwallet-1.2.0-r7.apk2025-11-16 17:55 8.2M 
[   ]cargo-leptos-0.3.2-r0.apk2025-12-22 02:54 8.2M 
[   ]davmail-6.5.1-r0.apk2025-11-14 23:27 8.3M 
[   ]sish-2.16.1-r10.apk2025-12-04 22:48 8.3M 
[   ]otf-cli-0.4.9-r0.apk2025-12-04 22:48 8.4M 
[   ]mir-dev-2.25.2-r0.apk2025-12-18 14:24 8.4M 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 19:02 8.5M 
[   ]grpcurl-1.9.3-r8.apk2025-12-04 22:48 8.5M 
[   ]warp-s3-1.3.1-r1.apk2025-12-04 22:49 8.5M 
[   ]unicorn-dev-2.1.4-r0.apk2025-10-14 14:38 8.6M 
[   ]art_standalone-dev-0_git20251004-r0.apk2025-10-06 21:54 8.6M 
[   ]otf-agent-0.4.9-r0.apk2025-12-04 22:48 8.6M 
[   ]go-away-0.7.0-r1.apk2025-09-06 16:20 8.7M 
[   ]texlab-5.23.1-r0.apk2025-08-22 07:31 8.7M 
[   ]transito-0.10.0-r0.apk2025-12-21 22:45 8.8M 
[   ]tofutf-cli-0.10.0-r10.apk2025-12-04 22:48 8.8M 
[   ]pixi-0.24.2-r0.apk2024-10-25 19:02 8.8M 
[   ]cc65-2.19-r0.apk2024-10-25 18:59 8.9M 
[   ]gdcm-doc-html-3.2.2-r3.apk2025-12-02 06:13 8.9M 
[   ]openjfx-21.0.3_p1-r0.apk2024-10-25 19:02 8.9M 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 19:01 8.9M 
[   ]gmsh-4.15.0-r0.apk2025-11-01 00:21 8.9M 
[   ]grpcui-1.5.1-r4.apk2025-12-04 22:48 9.0M 
[   ]psi-plus-1.5.2096-r0.apk2025-09-30 12:51 9.0M 
[   ]piper-phonemize-2023.11.14.4-r9.apk2025-07-12 16:07 9.0M 
[   ]linphone-5.3.38-r0.apk2024-10-25 19:01 9.0M 
[   ]arduino-cli-1.3.1-r2.apk2025-12-04 22:48 9.0M 
[   ]darkradiant-3.9.0-r0.apk2025-09-28 12:00 9.1M 
[   ]llvm-next-dev-22.0.0_pre20251108-r0.apk2025-11-09 09:38 9.1M 
[   ]oauth2-proxy-7.11.0-r4.apk2025-12-04 22:48 9.2M 
[   ]clang-next-libclang-22.0.0_pre20251108-r0.apk2025-11-09 09:38 9.2M 
[   ]wasmtime-39.0.1-r0.apk2025-12-08 21:02 9.2M 
[   ]clang-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 9.3M 
[   ]cloudfoundry-cli-8.7.9-r14.apk2025-12-04 22:48 9.4M 
[   ]tofutf-agent-0.10.0-r10.apk2025-12-04 22:48 9.5M 
[   ]jfrog-cli-2.45.0-r17.apk2025-12-04 22:48 9.6M 
[   ]maxima-doc-extra-5.48.1-r9.apk2025-08-22 10:16 9.6M 
[   ]bomctl-0.1.9-r11.apk2025-12-04 22:48 9.7M 
[   ]cloudflared-2024.12.1-r11.apk2025-12-04 22:48 9.7M 
[   ]termusic-mpv-0.12.0-r0.apk2025-10-13 01:41 9.8M 
[   ]sc3-plugins-3.13.0-r2.apk2025-02-08 23:48 9.9M 
[   ]pspp-2.0.1-r1.apk2025-10-06 11:28 9.9M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 19:02 9.9M 
[   ]lldap-0.6.1-r0.apk2025-03-14 17:12 9.9M 
[   ]seed7-05.20240322-r0.apk2024-10-25 19:03 10M 
[   ]tenv-4.9.0-r1.apk2025-12-04 22:48 10M 
[   ]haxe-4.3.3-r1.apk2025-03-22 14:35 10M 
[   ]remco-0.12.5-r5.apk2025-12-04 22:48 10M 
[   ]rio-0.2.35-r0.apk2025-11-14 21:57 10M 
[   ]r2ghidra-6.0.2-r0.apk2025-09-28 22:56 10M 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 19:02 10M 
[   ]git-bug-0.8.1-r6.apk2025-12-04 22:48 10M 
[   ]gambit-4.9.5-r1.apk2025-04-07 08:30 10M 
[   ]opcr-policy-0.3.1-r1.apk2025-12-04 22:48 10M 
[   ]singular-4.4.1_p2-r1.apk2025-11-26 08:24 10M 
[   ]undock-0.10.0-r5.apk2025-12-04 22:49 11M 
[   ]intiface-central-2.6.7-r3.apk2025-11-16 17:55 11M 
[   ]flightgear-2024.1.1-r0.apk2025-03-05 00:17 11M 
[   ]mods-1.8.1-r3.apk2025-12-04 22:48 11M 
[   ]sq-0.48.5-r10.apk2025-12-04 22:48 11M 
[   ]mangal-4.0.6-r23.apk2025-12-04 22:48 11M 
[   ]sonar-scanner-7.1.0.4889-r0.apk2025-05-04 23:13 11M 
[   ]zot-cli-2.1.8-r2.apk2025-12-04 22:49 11M 
[   ]crow-translate-4.0.2-r0.apk2025-09-22 01:17 11M 
[   ]opa-1.6.0-r4.apk2025-12-04 22:48 11M 
[   ]electron-lang-39.2.7-r0.apk2025-12-18 19:03 11M 
[   ]eccodes-2.44.0-r0.apk2025-10-09 07:23 11M 
[   ]ko-0.17.1-r10.apk2025-12-04 22:48 11M 
[   ]haproxy-dataplaneapi2-2.9.18-r2.apk2025-12-04 22:48 11M 
[   ]gotify-2.7.2-r2.apk2025-12-04 22:48 11M 
[   ]simpleiot-0.18.3-r5.apk2025-12-04 22:48 11M 
[   ]openspades-0.1.3-r5.apk2024-10-25 19:02 11M 
[   ]dbmate-2.28.0-r1.apk2025-12-04 22:48 11M 
[   ]stayrtr-0.6.3-r1.apk2025-12-04 22:48 11M 
[   ]lima-1.2.1-r1.apk2025-12-04 22:48 11M 
[   ]pcsx2-1.7.4819-r5.apk2025-02-22 14:39 11M 
[   ]please-build-tools-17.22.0-r1.apk2025-12-04 22:48 11M 
[   ]adguardhome-0.107.71-r0.apk2025-12-13 16:22 12M 
[   ]waifu2x-converter-cpp-5.3.4-r9.apk2025-07-07 07:43 12M 
[   ]chasquid-1.17.0-r0.apk2025-12-19 15:56 12M 
[   ]cataclysm-dda-curses-0h-r0.apk2025-03-21 16:20 12M 
[   ]kubeseal-0.32.1-r2.apk2025-12-04 22:48 12M 
[   ]ptcpdump-0.37.0-r1.apk2025-12-04 22:48 12M 
[   ]libclc-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 12M 
[   ]noggin-model-0.1-r0.apk2024-10-25 19:02 12M 
[   ]naabu-2.3.7-r1.apk2025-12-04 22:48 12M 
[   ]texmaker-6.0.1-r0.apk2025-06-27 10:32 12M 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 19:01 12M 
[   ]regal-0.36.1-r1.apk2025-12-04 22:48 12M 
[   ]rt6-6.0.1-r0.apk2025-08-12 07:48 12M 
[   ]mapnik-4.1.4-r0.apk2025-12-04 22:48 12M 
[   ]kismet-0.202509.1-r0.apk2025-10-09 07:23 12M 
[   ]gmic-3.6.0-r1.apk2025-10-25 09:58 12M 
[   ]lemmy-0.19.12-r1.apk2025-10-14 14:16 12M 
[   ]jackal-0.64.0-r20.apk2025-12-04 22:48 12M 
[   ]advancemame-3.9-r4.apk2024-10-25 18:59 12M 
[   ]melange-0.37.0-r0.apk2025-12-16 15:10 12M 
[   ]linkquisition-1.6.1-r10.apk2025-12-04 22:48 13M 
[   ]gprbuild-25.0.0-r0.apk2025-10-29 02:54 13M 
[   ]helm-unittest-1.0.3-r1.apk2025-12-04 22:48 13M 
[   ]ibus-typing-booster-2.29.0-r0.apk2025-12-16 15:10 13M 
[   ]vcmi-1.6.8-r2.apk2025-09-27 14:27 13M 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 07:55 13M 
[   ]tabiew-0.11.0-r0.apk2025-07-30 07:46 13M 
[   ]pypy3-tests-7.3.19-r0.apk2025-11-01 15:12 13M 
[   ]vice-3.9-r2.apk2025-09-27 14:27 13M 
[   ]wine-staging-dev-10.20-r0.apk2025-11-29 16:53 13M 
[   ]fynedesk-0.4.0-r2.apk2025-12-04 22:48 13M 
[   ]utop-2.9.1-r4.apk2024-10-25 19:03 13M 
[   ]utop-full-2.9.1-r4.apk2024-10-25 19:03 13M 
[   ]paraexec-1.0-r3.apk2024-10-25 19:02 13M 
[   ]otf-server-0.4.9-r0.apk2025-12-04 22:48 13M 
[   ]tofutf-server-0.10.0-r10.apk2025-12-04 22:48 14M 
[   ]jupyterlab3-3.6.8-r1.apk2025-08-09 16:27 14M 
[   ]linuxkit-1.8.2-r1.apk2025-12-04 22:48 14M 
[   ]kube-no-trouble-0.7.3-r10.apk2025-12-04 22:48 14M 
[   ]yass-2.5.0-r0.apk2024-10-25 19:04 14M 
[   ]mtail-3.2.26-r1.apk2025-12-04 22:48 14M 
[   ]gdcm-doc-pdf-3.2.2-r3.apk2025-12-02 06:13 14M 
[   ]lima-guestagent-1.2.1-r1.apk2025-12-04 22:48 14M 
[   ]taskcafe-0.3.6-r18.apk2025-12-04 22:48 14M 
[   ]regclient-0.11.1-r0.apk2025-12-08 10:41 14M 
[   ]katana-1.2.2-r3.apk2025-12-04 22:48 14M 
[   ]clang-next-rtlib-22.0.0_pre20251108-r0.apk2025-11-09 09:38 15M 
[   ]flannel-0.27.4-r1.apk2025-12-04 22:48 15M 
[   ]libresprite-1.2-r0.apk2025-04-13 22:15 15M 
[   ]kanidm-server-1.8.5-r0.apk2025-12-13 18:49 15M 
[   ]phosh-osk-data-se-0.42.0-r0.apk2025-12-16 16:43 15M 
[   ]buf-protoc-plugins-1.59.0-r1.apk2025-12-04 22:48 15M 
[   ]ocaml-reason-3.8.2-r2.apk2025-10-25 05:08 15M 
[   ]typlite-0.14.2-r0.apk2025-11-22 16:11 15M 
[   ]whatsie-4.16.3-r0.apk2025-01-12 13:59 15M 
[   ]tempo-vulture-2.9.0-r1.apk2025-12-04 22:48 15M 
[   ]prometheus-podman-exporter-1.18.1-r2.apk2025-12-04 22:48 16M 
[   ]buf-1.59.0-r1.apk2025-12-04 22:48 16M 
[   ]fcitx5-mozc-2.32.5994.102.20251109-r0.apk2025-11-14 21:57 16M 
[   ]virtctl-1.6.2-r1.apk2025-12-04 22:49 16M 
[   ]ghostty-1.2.3_git20251118-r1.apk2025-12-14 22:09 16M 
[   ]clang-next-libs-22.0.0_pre20251108-r0.apk2025-11-09 09:38 16M 
[   ]one-dnn-3.1-r0.apk2024-10-25 19:02 16M 
[   ]btcd-0.24.0-r13.apk2025-12-04 22:48 16M 
[   ]flutter-tool-3.38.4-r1.apk2025-12-18 22:40 16M 
[   ]stalwart-mail-0.15.2-r0.apk2025-12-23 01:24 16M 
[   ]semaphoreui-2.16.37-r1.apk2025-12-04 22:48 16M 
[   ]caprine-2.60.3-r6.apk2025-11-10 21:45 16M 
[   ]phosh-osk-data-uk-0.42.0-r0.apk2025-12-16 16:43 16M 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 19:01 17M 
[   ]faust-doc-2.79.3-r0.apk2025-06-07 17:01 17M 
[   ]kopia-0.21.1-r4.apk2025-12-04 22:48 17M 
[   ]kubepug-1.7.1-r15.apk2025-12-04 22:48 17M 
[   ]rt5-5.0.8-r0.apk2025-06-15 04:44 17M 
[   ]pypy3-7.3.19-r0.apk2025-11-01 15:12 18M 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 18:59 18M 
[   ]httpx-1.7.4-r0.apk2025-12-09 23:37 18M 
[   ]nvidia-src-575.64.05-r0.apk2025-07-22 22:34 18M 
[   ]alda-2.3.2-r5.apk2025-12-04 22:48 18M 
[   ]dnscontrol-4.29.0-r0.apk2025-12-18 21:13 18M 
[   ]duckdb-1.4.0-r0.apk2025-10-04 11:04 18M 
[   ]pypy-7.3.19-r0.apk2025-11-01 15:12 18M 
[   ]lomiri-sounds-25.01-r0.apk2025-08-19 20:09 18M 
[   ]ri-li-2.0.1-r1.apk2024-10-25 19:03 18M 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 19:01 18M 
[   ]hubble-cli-0.13.6-r10.apk2025-12-04 22:48 18M 
[   ]art_standalone-0_git20251004-r0.apk2025-10-06 21:54 19M 
[   ]pypy-bootstrap-7.3.19-r0.apk2025-11-01 15:12 19M 
[   ]reason-3.8.2-r2.apk2025-10-25 05:08 19M 
[   ]keybase-client-6.2.8-r15.apk2025-12-04 22:48 19M 
[   ]duckdb-libs-1.4.0-r0.apk2025-10-04 11:04 19M 
[   ]stern-1.33.0-r1.apk2025-12-04 22:48 19M 
[   ]prowlarr-2.3.0.5236-r0.apk2025-12-13 17:16 19M 
[   ]mlir-next-libs-22.0.0_pre20251108-r0.apk2025-11-09 09:38 19M 
[   ]mame-data-0.251-r0.apk2024-10-25 19:02 19M 
[   ]helm-ls-0.5.4-r1.apk2025-12-04 22:48 19M 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 19:04 19M 
[   ]cataclysm-dda-0h-r0.apk2025-03-21 16:20 19M 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 19:02 19M 
[   ]mlir-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 19M 
[   ]plakar-1.0.6-r1.apk2025-12-04 22:48 20M 
[   ]tinymist-0.14.2-r0.apk2025-11-22 16:11 20M 
[   ]bettercap-2.41.5-r0.apk2025-12-16 01:52 20M 
[   ]telegram-tdlib-static-1.8.51-r0.apk2025-08-02 10:25 20M 
[   ]phosh-osk-data-ru-0.42.0-r0.apk2025-12-16 16:43 20M 
[   ]cemu-2.6-r0.apk2025-09-25 05:44 20M 
[   ]wtfutil-0.43.0-r18.apk2025-12-04 22:49 20M 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 19:01 20M 
[   ]eclib-static-20250627-r2.apk2025-11-26 08:24 20M 
[   ]py3-duckdb-1.4.0-r0.apk2025-10-04 11:04 20M 
[   ]runu-0.12.3-r1.apk2025-12-04 22:48 20M 
[   ]py3-plotly-5.24.1-r2.apk2025-04-18 22:14 20M 
[   ]futhark-0.25.28-r0.apk2025-03-12 01:07 21M 
[   ]lidarr-3.1.0.4875-r0.apk2025-12-13 17:30 21M 
[   ]rke-1.4.3-r20.apk2025-12-04 22:48 21M 
[   ]tetragon-client-1.1.2-r10.apk2025-12-04 22:48 21M 
[   ]minikube-1.34.0-r10.apk2025-12-04 22:48 21M 
[   ]avara-0.7.1-r1.apk2024-11-04 08:53 21M 
[   ]helm-mapkubeapis-0.6.1-r1.apk2025-12-04 22:48 22M 
[   ]thanos-0.31.0-r18.apk2025-12-04 22:48 22M 
[   ]helm-diff-3.13.1-r1.apk2025-12-04 22:48 22M 
[   ]py3-qgis-3.44.5-r0.apk2025-12-04 22:48 22M 
[   ]llvm-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 22M 
[   ]flightgear-dbg-2024.1.1-r0.apk2025-03-05 00:17 22M 
[   ]angband-4.2.5-r0.apk2024-10-25 18:59 23M 
[   ]openjdk21-mandrel-23.1.6.0-r0.apk2025-02-22 14:39 23M 
[   ]flang-next-22.0.0_pre20251108-r0.apk2025-11-09 09:38 23M 
[   ]mint-x-icons-1.7.5-r0.apk2025-12-01 06:05 23M 
[   ]tempo-cli-2.9.0-r1.apk2025-12-04 22:48 23M 
[   ]babashka-1.3.188-r0.apk2024-10-25 18:59 23M 
[   ]vector-0.50.0-r0.apk2025-10-05 19:20 24M 
[   ]lem-2.2.0-r0.apk2024-10-25 19:01 24M 
[   ]knative-client-1.19.6-r1.apk2025-12-04 22:48 24M 
[   ]yosys-0.57-r0.apk2025-10-24 21:50 24M 
[   ]timoni-0.23.0-r10.apk2025-12-04 22:48 24M 
[   ]kuma-dp-2.10.1-r6.apk2025-12-04 22:48 24M 
[   ]reason-rtop-3.8.2-r2.apk2025-10-25 05:08 24M 
[   ]android-apktool-2.12.1-r0.apk2025-10-14 14:53 24M 
[   ]devpod-0.6.15-r9.apk2025-12-04 22:48 25M 
[   ]maxima-5.48.1-r9.apk2025-08-22 10:16 25M 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 19:02 25M 
[   ]sourcegit-8.33-r0.apk2024-10-25 19:03 26M 
[   ]code-oss-1.105.1-r1.apk2025-11-10 21:45 26M 
[   ]lem-gui-2.2.0-r0.apk2024-10-25 19:01 26M 
[   ]duckdb-dev-1.4.0-r0.apk2025-10-04 11:04 26M 
[   ]nsq-1.3.0-r10.apk2025-05-14 00:10 26M 
[   ]flutter-desktop-3.38.4-r1.apk2025-12-18 22:40 27M 
[   ]kumactl-2.10.1-r6.apk2025-12-04 22:48 27M 
[   ]seaweedfs-3.93-r4.apk2025-12-04 22:48 27M 
[   ]cdogs-sdl-2.3.2-r0.apk2025-10-09 18:48 28M 
[   ]thelounge-4.4.3-r0.apk2024-10-25 19:03 28M 
[   ]radarr-6.0.4.10291-r0.apk2025-11-17 14:44 28M 
[   ]sonarr-4.0.16.2944-r0.apk2025-11-17 14:44 28M 
[   ]openjfx-demos-21.0.3_p1-r0.apk2024-10-25 19:02 28M 
[   ]ocaml-reason-dev-3.8.2-r2.apk2025-10-25 05:08 29M 
[   ]otrs-6.0.48-r2.apk2024-10-25 19:02 29M 
[   ]popeye-0.22.1-r9.apk2025-12-04 22:48 29M 
[   ]spark-2.8.3-r1.apk2024-10-25 19:03 29M 
[   ]font-hanazono-20170904-r2.apk2025-09-15 12:59 29M 
[   ]vscodium-1.106.37943-r0.apk2025-12-21 19:11 29M 
[   ]polycule-0.3.4-r0.apk2025-11-16 17:55 29M 
[   ]stacker-1.1.3-r1.apk2025-12-04 22:48 30M 
[   ]kuma-cp-2.10.1-r6.apk2025-12-04 22:48 30M 
[   ]vlang-0.4.11-r0.apk2025-06-21 13:57 30M 
[   ]bazel6-6.5.0-r0.apk2024-10-25 18:59 30M 
[   ]bazel7-7.7.1-r0.apk2025-11-22 18:41 30M 
[   ]cfssl-1.6.5-r10.apk2025-12-04 22:48 31M 
[   ]kraftkit-0.12.3-r1.apk2025-12-04 22:48 31M 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 19:02 32M 
[   ]liquibase-4.9.1-r0.apk2024-10-25 19:01 32M 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 19:03 32M 
[   ]tempo-2.9.0-r1.apk2025-12-04 22:48 33M 
[   ]zfs-src-2.4.0-r0.apk2025-12-19 08:50 33M 
[   ]zed-0.138.4-r5.apk2025-03-13 23:56 33M 
[   ]llvm-next-libs-22.0.0_pre20251108-r0.apk2025-11-09 09:38 33M 
[   ]clang-next-static-22.0.0_pre20251108-r0.apk2025-11-09 09:38 33M 
[   ]qgis-lang-3.44.5-r0.apk2025-12-04 22:48 33M 
[   ]bazel8-8.4.2-r0.apk2025-10-09 07:23 33M 
[   ]efl-1.28.1-r2.apk2025-03-24 04:33 34M 
[   ]element-desktop-1.12.3-r1.apk2025-11-10 21:45 34M 
[   ]yaru-icon-theme-25.10.1-r0.apk2025-07-13 20:17 35M 
[   ]kops-1.28.4-r15.apk2025-12-04 22:48 36M 
[   ]flutter-common-3.38.4-r1.apk2025-12-18 22:40 36M 
[   ]xmoto-data-0.6.3-r0.apk2025-10-03 17:02 37M 
[   ]cataclysm-dda-lang-0h-r0.apk2025-03-21 16:20 38M 
[   ]vals-0.42.4-r1.apk2025-12-04 22:49 38M 
[   ]scratch-1.4.0.7-r2.apk2025-10-09 12:30 39M 
[   ]dolt-1.79.1-r0.apk2025-12-16 14:09 39M 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 19:00 40M 
[   ]nuclei-3.6.1-r0.apk2025-12-18 21:23 40M 
[   ]jreleaser-1.21.0-r0.apk2025-10-31 17:31 40M 
[   ]php82-dbg-8.2.30-r1.apk2025-12-17 20:10 41M 
[   ]calibre-8.15.0-r0.apk2025-12-01 01:32 41M 
[   ]crowdsec-1.7.4-r0.apk2025-12-05 02:57 42M 
[   ]cura-5.2.2-r1.apk2024-10-25 18:59 42M 
[   ]usql-0.19.26-r1.apk2025-12-04 22:49 43M 
[   ]dart-3.10.3-r0.apk2025-12-05 02:56 43M 
[   ]argocd-3.2.0-r1.apk2025-12-04 22:48 44M 
[   ]godot-templates-4.5.1-r0.apk2025-12-18 19:45 46M 
[   ]openfire-4.8.1-r1.apk2024-12-03 15:45 46M 
[   ]signal-desktop-7.76.0-r1.apk2025-11-10 21:45 46M 
[   ]nrf5-sdk-17.1.0-r0.apk2025-08-19 19:54 47M 
[   ]flang-next-static-22.0.0_pre20251108-r0.apk2025-11-09 09:38 47M 
[   ]go-tools-0.40.0-r0.apk2025-12-12 15:18 47M 
[   ]starfighter-2.4-r0.apk2024-10-25 19:03 48M 
[   ]qt-creator-18.0.1-r0.apk2025-12-12 18:54 48M 
[   ]cataclysm-dda-tiles-0h-r0.apk2025-03-21 16:20 49M 
[   ]mlir-next-static-22.0.0_pre20251108-r0.apk2025-11-09 09:38 49M 
[   ]qgis-3.44.5-r0.apk2025-12-04 22:48 51M 
[   ]lemmy-ui-0.19.12-r0.apk2025-06-23 04:46 52M 
[   ]mame-mess-0.251-r0.apk2024-10-25 19:02 52M 
[   ]phosh-osk-data-es-0.42.0-r0.apk2025-12-16 16:43 52M 
[   ]phosh-osk-data-nl-0.42.0-r0.apk2025-12-16 16:43 54M 
[   ]solarus-quest-editor-1.7.0-r1.apk2025-02-22 14:39 55M 
[   ]cilium-cli-0.16.13-r10.apk2025-12-04 22:48 56M 
[   ]zarf-0.32.1-r15.apk2025-12-04 22:49 56M 
[   ]phosh-osk-data-pl-0.42.0-r0.apk2025-12-16 16:43 57M 
[   ]phosh-osk-data-pt-0.42.0-r0.apk2025-12-16 16:43 57M 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 19:02 57M 
[   ]ghidra-doc-12.0-r0.apk2025-12-13 16:58 57M 
[   ]phosh-osk-data-it-0.42.0-r0.apk2025-12-16 16:43 58M 
[   ]helmfile-1.1.8-r1.apk2025-12-04 22:48 61M 
[   ]hashcat-6.2.6-r0.apk2024-10-25 19:01 61M 
[   ]grafana-image-renderer-4.0.17-r0.apk2025-10-10 17:54 62M 
[   ]stockfish-17-r0.apk2025-03-03 22:40 62M 
[   ]godot-4.5.1-r0.apk2025-12-18 19:45 63M 
[   ]phosh-osk-data-fi-0.42.0-r0.apk2025-12-16 16:43 64M 
[   ]phosh-osk-data-de-0.42.0-r0.apk2025-12-16 16:43 64M 
[   ]llvm-next-static-22.0.0_pre20251108-r0.apk2025-11-09 09:38 66M 
[   ]mame-arcade-0.251-r0.apk2024-10-25 19:02 68M 
[   ]haskell-language-server-2.9.0.0-r0.apk2024-10-25 19:01 68M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 19:02 69M 
[   ]trivy-0.68.2-r0.apk2025-12-19 11:40 69M 
[   ]sdrangel-7.22.9-r0.apk2025-10-24 20:31 70M 
[   ]fpc-3.2.2-r4.apk2024-10-25 19:01 71M 
[   ]mint-y-icons-1.8.9-r0.apk2025-12-01 06:05 73M 
[   ]zot-2.1.8-r2.apk2025-12-04 22:49 78M 
[   ]wine-mono-10.0.0-r0.apk2025-05-10 03:12 80M 
[   ]texstudio-4.9.1-r0.apk2025-12-15 21:29 83M 
[   ]kotlin-language-server-1.3.13-r0.apk2025-04-03 00:11 83M 
[   ]hyperrogue-13.1i-r0.apk2025-12-19 03:52 84M 
[   ]piglit-0_git20241106-r1.apk2025-05-14 00:10 89M 
[   ]firefox-developer-edition-147.0_beta6-r0.apk2025-12-21 17:50 90M 
[   ]airsonic-advanced-11.0.0_git20230217-r0.apk2024-10-25 18:59 91M 
[   ]koreader-2025.10-r0.apk2025-12-13 16:21 96M 
[   ]mame-0.251-r0.apk2024-10-25 19:02 96M 
[   ]electron-39.2.7-r0.apk2025-12-18 19:03 97M 
[   ]jadx-1.5.3-r0.apk2025-09-10 12:54 111M 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 19:02 114M 
[   ]organicmaps-2025.09.05.1-r0.apk2025-10-20 10:31 127M 
[   ]wine-staging-10.20-r0.apk2025-11-29 16:53 131M 
[   ]dart-sdk-3.10.3-r0.apk2025-12-05 02:56 136M 
[   ]ghc-current-9.15_pre20251106-r0.apk2025-11-16 07:29 136M 
[   ]art_standalone-dbg-0_git20251004-r0.apk2025-10-06 21:54 137M 
[   ]gmsh-dbg-4.15.0-r0.apk2025-11-01 00:21 151M 
[   ]srb2-data-2.2.15-r2.apk2025-12-20 15:23 160M 
[   ]dart-stage0-3.10.0_alpha244_p0-r0.apk2025-11-16 17:55 182M 
[   ]warzone2100-data-4.5.5-r5.apk2025-12-20 15:24 328M 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 15:13 352M 
[   ]ghidra-12.0-r0.apk2025-12-13 16:58 410M 
[   ]qt-creator-dbg-18.0.1-r0.apk2025-12-12 18:55 471M 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-22 14:39 934M